OSDN Git Service

de0-cv integration, code clean up
authorastoria-d <astoria-d@mail.goo.ne.jp>
Thu, 28 Jul 2016 14:07:53 +0000 (23:07 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Thu, 28 Jul 2016 14:07:53 +0000 (23:07 +0900)
de0_cv_nes/de0-cv-analyze-all.stp
de0_cv_nes/de0_cv_nes.vhd
de1_nes/cpu/cpu_registers.vhd
de1_nes/cpu/mos6502.vhd

index b251976..e4709ac 100644 (file)
           <node data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>\r
           <node data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>\r
           <node name="clock_counter" order="lsb_to_msb" state="collapse" type="register">\r
-            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
-            <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
-            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
-            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
-            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
-            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
-            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
-            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
-            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
-            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
-            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
-            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
-            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
-            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
-            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
-            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
-            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
-            <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
-            <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
-            <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
-            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
-            <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
-            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
-            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
-            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
-            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
-            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
-            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
-            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
-            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
-            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
-            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
-            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
-            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
-            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
+            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
+            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
+            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
+            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
+            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
+            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
+            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
+            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
+            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
+            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
+            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
+            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
+            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
+            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
+            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
+            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
+            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
+            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
+            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
+            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
           </node>\r
           <node data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>\r
           <node data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>\r
           <node is_selected="false" level-0="alt_or" name="dbg_addr[15..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
-            <node data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
-            <node data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
-            <node data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
-            <node data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
-            <node data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
-            <node data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
-            <node data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
-            <node data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
-            <node data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
-            <node data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
-            <node data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
-            <node data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
-            <node data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
-            <node data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
-            <node data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
-            <node data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
+            <node data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
+            <node data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
+            <node data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
+            <node data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
+            <node data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
+            <node data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
+            <node data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
+            <node data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
+            <node data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
+            <node data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
+            <node data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
+            <node data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
+            <node data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
+            <node data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
+            <node data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
+            <node data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
           </node>\r
           <node is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
             <node data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>\r
           <net data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>\r
           <net data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>\r
           <bus name="clock_counter" order="lsb_to_msb" state="collapse" type="register">\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
-            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
-            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
-            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
-            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
-            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
           </bus>\r
           <net data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>\r
           <net data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>\r
           <bus is_selected="false" level-0="alt_or" name="dbg_addr[15..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
-            <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
-            <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
-            <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
-            <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
-            <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
-            <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
-            <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
-            <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
-            <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
-            <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
-            <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
-            <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
-            <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
-            <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
-            <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
-            <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
+            <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
+            <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
+            <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
+            <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
+            <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
+            <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
+            <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
+            <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
+            <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
+            <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
+            <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
+            <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
+            <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
+            <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
+            <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
+            <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
           </bus>\r
           <bus is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
             <net data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>\r
           <net data_index="207" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="unknown"/>\r
           <net data_index="108" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="unknown"/>\r
           <bus name="clock_counter" order="lsb_to_msb" state="collapse" type="register">\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
-            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
-            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
-            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
-            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
-            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
-            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
           </bus>\r
           <net data_index="84" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="unknown"/>\r
           <net data_index="173" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="unknown"/>\r
           <bus is_selected="false" level-0="alt_or" name="dbg_addr[15..0]" order="msb_to_lsb" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
-            <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
-            <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
-            <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
-            <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
-            <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
-            <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
-            <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
-            <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
-            <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
-            <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
-            <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
-            <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
-            <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
-            <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
-            <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
-            <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
+            <net data_index="74" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="unknown"/>\r
+            <net data_index="73" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="unknown"/>\r
+            <net data_index="72" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="unknown"/>\r
+            <net data_index="71" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="unknown"/>\r
+            <net data_index="70" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="unknown"/>\r
+            <net data_index="69" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="unknown"/>\r
+            <net data_index="83" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="unknown"/>\r
+            <net data_index="82" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="unknown"/>\r
+            <net data_index="81" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="unknown"/>\r
+            <net data_index="80" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="unknown"/>\r
+            <net data_index="79" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="unknown"/>\r
+            <net data_index="78" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="unknown"/>\r
+            <net data_index="77" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="unknown"/>\r
+            <net data_index="76" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="unknown"/>\r
+            <net data_index="75" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="unknown"/>\r
+            <net data_index="68" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="unknown"/>\r
           </bus>\r
           <bus is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
             <net data_index="92" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="unknown"/>\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="447395F0" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2016/07/16 19:23:46  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="447395F0" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2016/07/28 22:54:47  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[0]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[10]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[11]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[12]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[13]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[14]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[15]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[16]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[17]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[18]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[19]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[1]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[20]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[21]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[22]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[23]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[24]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[25]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[26]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[27]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[28]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[29]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[2]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[30]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[31]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[32]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[33]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[34]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[35]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[36]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[37]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[38]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[39]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[3]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[40]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[41]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[42]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[43]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[44]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[45]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[46]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[47]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[48]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[49]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[4]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[50]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[51]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[52]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[53]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[54]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[55]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[56]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[57]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[58]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[59]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[5]~reg0' == high &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[60]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[61]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[62]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[63]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[6]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[7]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[8]~reg0' == low &amp;&amp; 'counter_register:clock_counter_inst|d_flip_flop:counter_reg_inst|q[9]~reg0' == high\r
+          <level enabled="yes" name="condition1" type="basic">'dbg_addr[0]' == low &amp;&amp; 'dbg_addr[10]' == high &amp;&amp; 'dbg_addr[11]' == high &amp;&amp; 'dbg_addr[12]' == high &amp;&amp; 'dbg_addr[13]' == high &amp;&amp; 'dbg_addr[14]' == low &amp;&amp; 'dbg_addr[15]' == low &amp;&amp; 'dbg_addr[1]' == high &amp;&amp; 'dbg_addr[2]' == high &amp;&amp; 'dbg_addr[3]' == high &amp;&amp; 'dbg_addr[4]' == high &amp;&amp; 'dbg_addr[5]' == high &amp;&amp; 'dbg_addr[6]' == high &amp;&amp; 'dbg_addr[7]' == high &amp;&amp; 'dbg_addr[8]' == low &amp;&amp; 'dbg_addr[9]' == low\r
             <power_up enabled="yes">\r
             </power_up>\r
             <op_node/>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: 2016/07/16 19:23:46  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">0000010001000100000000000000000000000000000000001000000000000000110010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001100100000010001110111000011001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011001000000100011101110000110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000110010000001000111010100001100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001100000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011000000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000110000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001100011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011000111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000110001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001100100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000011001000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000110010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001100000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000011000000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000110000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001100100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000011001000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000110010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001100000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000011000000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000110000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001100100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000011001000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000110010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001100100000010001110111000011001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000011001000000100011101110000110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000110010000001000111010100001100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001100100000010001110110100011000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000011001000000100011101101000110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000110010000001000111010010001100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001100000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000011000000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000110000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001100100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011001000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000110010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001100000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011000000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000110000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001100000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000011000000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000110010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001100000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000011000000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000110000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001100100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000011001000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000110010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001100000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000011000000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000110000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001100100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000011001000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000110010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001100000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011000000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000110000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001100100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011001000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000110010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001100100000011001110111110011001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011001000000110011101111100110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000110010000001100111010111001100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001100000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011000000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000110000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001100011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000011000111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000110001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001100100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000011001000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000110010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001100000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000011000000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000110000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001100100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000011001000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000110010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001100000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000011000000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000110000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001100100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000011001000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000110010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001100100000011001110111110011001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000011001000000110011101111100110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000110010000001100111010111001100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001100100000011001110110001011000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000011001000000110011101100010110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000110010000001100111010000101100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001100000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011000000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000110000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001100100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011001000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000110010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001100000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011000000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000110000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001100000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011000000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000110010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001100000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011000000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000110000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001100100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011001000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000110010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001100000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011000000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000110000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001100011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011000111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000110001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001100100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011001000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000110010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001100000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011000000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000110000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000000101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000001010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000010100000010101110111011011001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000000101000000101011101110110110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000001010000001010111010101101100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000000100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000001000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000000100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000001001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000000101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000001010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000000100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000001000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000000101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000001010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000000100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000001000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000000101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000001010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000010100000010101110111011011001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000000101000000101011101110110110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000001010000001010111010101101100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000010100000010101110110111011000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000000101000000101011101101110110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000001010000001010111010011101100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000000100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000000000001000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000001000000000000000000000000000010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000000000000000000000101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000000000000000000000001010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000000000000000000000010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000000000000100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000000000001000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000000000010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000000000000100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000000000000000000000001010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000010000000000000000010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000000000000000100000000000000000100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000000000000001000000000000000001000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000000000000000010000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000000000010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000000000000101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000000000000000000000000001000000000000000001010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000000000000000000000000010000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000000100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000001000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000000101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000001010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000000100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000001000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000000101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000001010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000010100000011101110111100111001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000000101000000111011101111001110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000001010000001110111010110011100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000000100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000001000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000000100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000001001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000000101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000001010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000000100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000001000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000000101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000001010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000000100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000001000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000000101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000001010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000010100000011101110111100111001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000000101000000111011101111001110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000001010000001110111010110011100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000010100000011101110110010111000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000000101000000111011101100101110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000001010000001110111010001011100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000000100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000001000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000000101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000001010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000000100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000001000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000000100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000001010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000000100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000001000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000000101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000001010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000000100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000001000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000000101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000001010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000000100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000001000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000000101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000001010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000010100000010011110111001111001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000000101000000100111101110011110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000001010000001001111010100111100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000000100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000001000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000000100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000001001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000000101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000001010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000000100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000001000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000000101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000001010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000000100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000001000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000000101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000001010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000010100000010011110111001111001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000000101000000100111101110011110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000001010000001001111010100111100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000010100000010011110110101111000010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000000101000000100111101101011110000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000001010000001001111010010111100000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000000100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000001000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000000100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000001001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000000101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000001010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000000100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000001000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000000100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000001010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000010100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000101000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000010101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000101010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000010100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000101000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000010101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000101010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000010100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000101000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000010101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000101010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001010100000011011110111111111001100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000010101000000110111101111111110011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000101010000001101111010111111100000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000010100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000101000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000010100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000101001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000010101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000101010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000010100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000101000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000000000010101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000000000101010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000000001010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000000000010100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000000000000000000000101000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000010000000000000001010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000000000000000100000000000000010101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000000000000001000000000000000101010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000000000000000010000000000000001010100000011011110111111111001100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000000000010101000000110111101111111110011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000000000101010000001101111010111111100001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000000001010100000011011110110000000100010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000000000010101000000110111101100000001000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000001000000000000000101010000001101111010000000010000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000010000000000000001010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000100000000000000010100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000001000000000000000101000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000000000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000000000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000000000000000010000000000000001010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000100000000000000010101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000001000000000000000101010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000010000000000000001010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000010100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000101000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000010100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000101010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000010100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000101000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000010101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000101010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000010100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000101000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000010101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000101010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000010100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000101000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000010101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000101010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001010100000010111110111010000101100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000010101000000101111101110100001011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000101010000001011111010101000010000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000010100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000101000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000010100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000101001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000010101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000101010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000010100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000101000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000010101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000101010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000010100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000101000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001010100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000010101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000101010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001010100000010111110111010000101100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000010101000000101111101110100001011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000101010000001011111010101000010001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001010100000010111110110110000100010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000010101000000101111101101100001000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000101010000001011111010011000010000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001010000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000010100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000101000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001010100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000010101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000101010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001010000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000010100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000101000000010011110110100011110010000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001010000000110101101110001001100100000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000010100000001101011011100010011001000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000101010000011011010110111100010000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001010000000110101101110001001100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000010100000001101011011100010011000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000101000000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001010100000110101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000010101000001101011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000101010000011010110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001010000000101101101110001001100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000010100000001011011011100010011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000101000000010110110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001010011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000010100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000101001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001010100000101101101111001110100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000010101000001011011011110011101000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000101010000010110110110100111010100000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001010000000111101101110000000001000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000010100000001111011011100000000010000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000101000000011110110110000000000010000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001010100000111101101110100000000100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000010101000001111011011101000000001000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000101010000011110110110010000000110000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001010100000011111110111101000101100001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000010101000000111111101111010001011000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000101010000001111111010110100010000000100111010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001010000000100011101110001100000000001001110100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000010100000001000111011100011000000000010011101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000101000000010001110110000110000100000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001010011111111111111110000000001000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000010100111111111111111100000000010000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000101001111111111111110000000000000000000110000000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001010100000100011101111001011000000000001100000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000010101000001000111011110010110000000000011000000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000101010000010001110110100101100100000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001010000000110011101111000000001000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000010100000001100111011110000000010000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000101000000011001110110100000000000000100101100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001010100000110011101111001100100000001001011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000010101000001100111011110011001000000010010110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000101010000011001110110100110010100000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001010000000101011101110000000001000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000010100000001010111011100000000010000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000101000000010101110110000000000010000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000110100000101011101110100000000100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000001101000001010111011101000000001000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000011010000010101110110010000000110000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000110100000011111110111101000101100001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000001101000000111111101111010001011000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000011010000001111111010110100010001000100110010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000110100000011111110110011000100010001001100100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000001101000000111111101100110001000100010011001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000011010000001111111010001100010000000100110010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000110000000111011101110001001100000001001100100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000001100000001110111011100010011000000010011001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000011000000011101110110000100110100000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000110011111111111111110000000001000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000001100111111111111111100000000010000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000011001111111111111110000000000000000000100110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000110100000111011101110000101100000000001001100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000001101000001110111011100001011000000000010011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000011010000011101110110000010110100000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000110000000100111101111000111101000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000001100000001001111011110001111010000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000011000000010011110110100011110000000000010110000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000110100000100111101111001010100000000000101100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000001101000001001111011110010101000000000001011000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000011010000010011110110100101010100000100101010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000110000000110111101110100000001000001001010100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000001100000001101111011101000000010000010010101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000011000000011011110110010000000000000100101010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000110100000110111101111011000100000001001010100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000001101000001101111011110110001000000010010101000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000011010000011011110110101100010100000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000110000000101111101110010100001000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000001100000001011111011100101000010000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000011000000010111110110001010000010000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000110100000101111101110000001000100001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000001101000001011111011100000010001000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000011010000010111110110000000100110000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000110000001001010000010100000001100001011000100000110000111111000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000001100000010010100000101000000011000010110001000001100001111110000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000011000000100101000000010000000000000101100010000011000011111100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000110111111111111111110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000001101111111111111111100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000011011111111111111110000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000110100010010000000010000000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000001101000100100000000100000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000011010001001000000000000000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000110000000000000000110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000001100000000000000001100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000011000000000000000010000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000110000010001000000010000000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000001100000100010000000100000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000011000001000100000000000000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000110100000000000000111000001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000000000001101000000000000001110000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000000000011010000000000000010100000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000000000110000010001000000011000001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000000000001100000100010000000110000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000001000000000000000011000001000100000000100000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000010000000000000000110000000010000000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000100000000000000001100000000100000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000001000000000000000011000000001000000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000010000000000000000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000100000000000000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000001000000000000000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000010000000000000000110100000010000000110111000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000100000000000000001101000000100000001101110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000001000000000000000011010000001000000010011100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000010000000000000000110000010001000000010111000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000100000000000000001100000100010000000101110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000001000000000000000011000001000100000000011100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000010000000000000000110000000001000000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000100000000000000001100000000010000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000001000000000000000011000000000100000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000010000000000000000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000100000000000000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000001000000000000000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000110100000001000000110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000001101000000010000001101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000011010000000100000010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000110000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000001100000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000011000001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000110000000011000000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000001100000000110000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000011000000001100000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000110100000011000000110010100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000001101000000110000001100101000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000011010000001100000010001010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000110000010001000000010010100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000001100000100010000000100101000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000011000001000100000000001010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000110000000000100000110110000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000001100000000001000001101100000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000011000000000010000010011000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000110000010001000000010110000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000001100000100010000000101100000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000011000001000100000000011000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000110100000000100000111100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000001101000000001000001111000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000011010000000010000010110000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000110000010001000000011100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000001100000100010000000111000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000011000001000100000000110000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000110000000010100000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000001100000000101000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000011000000001010000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000110100000010100000110101100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000001101000000101000001101011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000011010000001010000010010110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000110000010001000000010101100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000001100000100010000000101011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000011000001000100000000010110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000110000000001100000111001000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000001100000000011000001110010000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000011000000000110000010100100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000110000010001000000011001000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000001100000100010000000110010000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000011000001000100000000100100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000110100000001100000110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000001101000000011000001100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000011010000000110000010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000110000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000001100000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000011000001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000110000000011100000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000001100000000111000001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000011000000001110000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000110100000011100000110000010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000001101000000111000001100000100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000011010000001110000010000001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000110000010001000000010000010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000001100000100010000000100000100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000011000001000100000000000001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000110000000000010000110011000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000001100000000000100001100110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000011000000000001000010001100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000110000010001000000010011000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000001100000100010000000100110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000011000001000100000000001100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000110100000000010000111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000001101000000000100001110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000011010000000001000010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000110000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000001100000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000011000001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000110000000010010000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000001100000000100100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000011000000001001000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000110100000010010000110110010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000001101000000100100001101100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000011010000001001000010011001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000110000010001000000010110010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000001100000100010000000101100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000011000001000100000000011001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000110000000001010000111111000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000001100000000010100001111110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000011000000000101000010111100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000110000010001000000011111000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000001100000100010000000111110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000011000001000100000000111100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000110100000001010000110110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000001101000000010100001101100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000011010000000101000010011000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000110000010001000000010110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000001100000100010000000101100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000011000001000100000000011000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000110000000011010000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000001100000000110100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000011000000001101000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000001100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000011000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000110100000011010000110011010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000001101000000110100001100110100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000011010000001101000010001101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000110000010001000000010011010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000001100000100010000000100110100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000011000001000100000000001101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000110000000000110000110100100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000001100000000001100001101001000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000011000000000011000010010010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001110000010001000000010100100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000011100000100010000000101001000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000111000001000100000000010010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001110100000000110000111110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000011101000000001100001111100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000111010000000011000010111000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001110000010001000000011110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000011100000100010000000111100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000111000001000100000000111000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001110000000010110000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000011100000000101100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000111000000001011000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001110100000010110000110100110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000011101000000101100001101001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000111010000001011000010010011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001110000010001000000010100110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000011100000100010000000101001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000111000001000100000000010011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001110000000001110000111010100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000011100000000011100001110101000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000111000000000111000010101010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001110000010001000000011010100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000011100000100010000000110101000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000111000001000100000000101010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001110100000001110000110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000011101000000011100001100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000111010000000111000010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001110000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000011100000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000111000001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001110000000011110000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000011100000000111100001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000111000000001111000010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001110100000011110000110001110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000011101000000111100001100011100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000111010000001111000010000111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001110000010001000000010001110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000011100000100010000000100011100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000111000001000100000000000111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001110000000000001000110001100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000011100000000000010001100011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000111000000000000100010000110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001110000010001000000010001100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000011100000100010000000100011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000111000001000100000000000110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001110100000000001000111001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011101000000000010001110010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000111010000000000100010100100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001110000010001000000011001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011100000100010000000110010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000111000001000100000000100100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001110000000010001000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011100000000100010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000111000000001000100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000010000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000100000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000001000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000010000000000000001110100000010001000110111110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000100000000000000011101000000100010001101111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000001000000000000000111010000001000100010011111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000010000000000000001110000010001000000010111110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000100000000000000011100000100010000000101111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000001000000000000000111000001000100000000011111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000010000000000000001110000000001001000111101100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000100000000000000011100000000010010001111011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000001000000000000000111000000000100100010110110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000010000000000000001110000010001000000011101100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000100000000000000011100000100010000000111011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000001000000000000000111000001000100000000110110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000010000000000000001110100000001001000110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000100000000000000011101000000010010001101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000001000000000000000111010000000100100010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000010000000000000001110000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000100000000000000011100000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000001000000000000000111000001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000010000000000000001110000000011001000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000100000000000000011100000000110010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000001000000000000000111000000001100100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001110100000011001000110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011101000000110010001100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000111010000001100100010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001110000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011100000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000111000001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001110000000000101000110111100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000011100000000001010001101111000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000111000000000010100010011110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001110000010001000000010111100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000011100000100010000000101111000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000111000001000100000000011110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001110100000000101000111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000011101000000001010001111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000111010000000010100010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001110000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000011100000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000111000001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001110000000010101000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000011100000000101010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000111000000001010100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001110100000010101000110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000011101000000101010001101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000111010000001010100010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001110000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000011100000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000111000001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001110000000001101000111000010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011100000000011010001110000100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000111000000000110100010100001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001110000010001000000011000010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011100000100010000000110000100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000111000001000100000000100001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001110100000001101000110011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011101000000011010001100110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000111010000000110100010001100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001110000010001000000010011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011100000100010000000100110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000111000001000100000000001100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001110000000011101000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000011100000000111010001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000111000000001110100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001110100000011101000110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000011101000000111010001100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000111010000001110100010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001110000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000011100000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000111000001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001110000000000011000110010010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000011100000000000110001100100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000111000000000001100010001001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001110000010001000000010010010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000011100000100010000000100100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000111000001000100000000001001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001110100000000011000111011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000011101000000000110001110110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000111010000000001100010101100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001110000010001000000011011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000011100000100010000000110110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000111000001000100000000101100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001110000000010011000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011100000000100110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000111000000001001100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001110100000010011000110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011101000000100110001101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000111010000001001100010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001110000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011100000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000111000001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001110000000001011000111110010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011100000000010110001111100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000111000000000101100010111001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001110000010001000000011110010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011100000100010000000111100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000111000001000100000000111001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001110100000001011000110111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011101000000010110001101110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000111010000000101100010011100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001110000010001000000010111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011100000100010000000101110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000111000001000100000000011100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001110000000011011000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011100000000110110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000111000000001101100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001110000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011100000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000111000001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001110100000011011000110011101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011101000000110110001100111010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000111010000001101100010001110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001110000010001000000010011101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011100000100010000000100111010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000111000001000100000000001110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001110000000000111000110101010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011100000000001110001101010100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000111000000000011100010010101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000001000010001000000010101010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000000010000100010000000101010100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000000100001000100000000010101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000001100000000111000111111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000000011000000001110001111110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000000110000000011100010111100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000001000010001000000011111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000000010000100010000000111110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000000100001000100000000111100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000001000000010111000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000000010000000101110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000000100000001011100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000001100000010111000110100011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000000011000000101110001101000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000000110000001011100010010001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000001000010001000000010100011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000000010000100010000000101000110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000000100001000100000000010001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000001000000001111000111011010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000000010000000011110001110110100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000000100000000111100010101101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000001000010001000000011011010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000000010000100010000000110110100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000000100001000100000000101101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000001100000001111000110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000000011000000011110001100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000000110000000111100010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000001000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000000010000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000000100001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000001000000011111000111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000000010000000111110001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000000100000001111100010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000001100000011111000110001011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000000011000000111110001100010110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000000110000001111100010000101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000001000010001000000010001011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000000010000100010000000100010110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000000100001000100000000000101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000001000000000000100110000110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000000010000000000001001100001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000000100000000000010010000011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000001000010001000000010000110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000000010000100010000000100001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000000100001000100000000000011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000001100000000000100111000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000000011000000000001001110001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000000110000000000010010100010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000001000010001000000011000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000000010000100010000000110001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000000100001000100000000100010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000001000000010000100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000000010000000100001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000000100000001000010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000001100000010000100110111011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000000011000000100001001101110110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000000110000001000010010011101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000001000010001000000010111011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000000010000100010000000101110110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000000100001000100000000011101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000010000000000000000001000000001000100111100110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000100000000000000000010000000010001001111001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000001000000000000000000100000000100010010110011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000010000000000000000001000010001000000011100110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000100000000000000000010000100010000000111001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000001000000000000000000100001000100000000110011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000010000000000000000001100000001000100110100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000100000000000000000011000000010001001101001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000001000000000000000000110000000100010010010010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000010000000000000000001000010001000000010100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000100000000000000000010000100010000000101001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000001000000000000000000100001000100000000010010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000010000000000000000001000000011000100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000100000000000000000010000000110001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000001000000000000000000100000001100010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000010000000000000000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000010000000000100000000000000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000001000000000000000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000001000000000010000000000000000001100000011000100110010111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000100000000000000000011000000110001001100101110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000001000000000000000000110000001100010010001011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000010000000000000000001000010001000000010010111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000010000000000100000000000000000010000100010000000100101110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000000100001000100000000001011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000001000000000100100110110110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000000010000000001001001101101100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000000100000000010010010011011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000001000010001000000010110110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000000010000100010000000101101100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000000100001000100000000011011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000001100000000100100111100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000000011000000001001001111001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000000110000000010010010110010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000001000010001000000011100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000000010000100010000000111001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000000100001000100000000110010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000001000000010100100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000000010000000101001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000000100000001010010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000001100000010100100110101111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000000011000000101001001101011110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000000110000001010010010010111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000001000010001000000010101111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000000010000100010000000101011110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000000100001000100000000010111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000001000000001100100111001110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000000010000000011001001110011100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000000100000000110010010100111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000001000010001000000011001110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000000010000100010000000110011100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000000100001000100000000100111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000001100000001100100110010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000000011000000011001001100101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000000110000000110010010001010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000001000010001000000010010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000000010000100010000000100101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000000100001000100000000001010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000001000000011100100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000000010000000111001001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000000100000001110010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000001100000011100100110000000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000000011000000111001001100000001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000000110000001110010010000000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000001000010001000000010000000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000000010000100010000000100000001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000000100001000100000000000000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000001000000000010100110011110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000000010000000000101001100111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000000100000000001010010001111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000001000010001000000010011110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000000010000100010000000100111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000000100001000100000000001111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000001100000000010100111010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000000011000000000101001110101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000000110000000001010010101010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000001000010001000000011010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000000010000100010000000110101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000000100001000100000000101010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000001000000010010100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000000010000000100101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000000100000001001010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000001100000010010100110110000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000000011000000100101001101100001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000000110000001001010010011000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000001000010001000000010110000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000000010000100010000000101100001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000000100001000100000000011000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000001000000001010100111111110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000000010000000010101001111111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000000100000000101010010111111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000001000010001000000011111110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000000010000100010000000111111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000000100001000100000000111111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000001100000001010100110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000000011000000010101001101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000000110000000101010010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000001000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000000010000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000000100001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000001000000011010100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000000010000000110101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000000100000001101010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000000010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000000100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000001100000011010100110011000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000000011000000110101001100110001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000000110000001101010010001100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000001000010001000000010011000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000000010000100010000000100110001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000000100001000100000000001100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000001000000000110100110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000000010000000001101001101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000000100000000011010010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001001000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000010010000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000100100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001001100000000110100111110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000010011000000001101001111101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000100110000000011010010111010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001001000010001000000011110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000010010000100010000000111101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000100100001000100000000111010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001001000000010110100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000010010000000101101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000100100000001011010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001001100000010110100110100100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000010011000000101101001101001001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000100110000001011010010010010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001001000010001000000010100100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000010010000100010000000101001001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000100100001000100000000010010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001001000000001110100111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000010010000000011101001110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000100100000000111010010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001001000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000010010000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000100100001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001001100000001110100110001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000010011000000011101001100011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000100110000000111010010000110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001001000010001000000010001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000010010000100010000000100011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000100100001000100000000000110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001001000000011110100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000010010000000111101001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000100100000001111010010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001001100000011110100110001100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000010011000000111101001100011001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000100110000001111010010000110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001001000010001000000010001100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000010010000100010000000100011001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000100100001000100000000000110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001001000000000001100110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000010010000000000011001100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000100100000000000110010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001001000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000010010000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000100100001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001001100000000001100111001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000010011000000000011001110011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000100110000000000110010100110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001001000010001000000011001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000010010000100010000000110011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000100100001000100000000100110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001001000000010001100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000010010000000100011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000100100000001000110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001001100000010001100110111100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000010011000000100011001101111001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000100110000001000110010011110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001001000010001000000010111100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000010010000100010000000101111001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000100100001000100000000011110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001001000000001001100111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000010010000000010011001111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000100100000000100110010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001001000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000010010000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000100100001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001001100000001001100110101101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000010011000000010011001101011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000001000000000000000100110000000100110010010110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000010000000000000001001000010001000000010101101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000100000000000000010010000100010000000101011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000001000000000000000100100001000100000000010110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000010000000000000001001000000011001100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000100000000000000010010000000110011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000001000000000000000100100000001100110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000010000000000000001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000010000000000100000000000000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000001000000000000000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000001000000000010000000000000001001100000011001100110010010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000100000000000000010011000000110011001100100101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000001000000000000000100110000001100110010001001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000010000000000000001001000010001000000010010010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000010000000000100000000000000010010000100010000000100100101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000100000000001000000000000000100100001000100000000001001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000001000000000010000000000000001001000000000101100110111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000100000000000000010010000000001011001101110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000001000000000000000100100000000010110010011100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000000000000000100001001000010001000000010111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000000000000000000000000001000010010000100010000000101110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000000000000000000000000010000100100001000100000000011100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000000000000000000000000000100001001100000000101100111000001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000000000000001000010011000000001011001110000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000100110000000010110010100000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001001000010001000000011000001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000010010000100010000000110000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000100100001000100000000100000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001001000000010101100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000010010000000101011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000100100000001010110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001001100000010101100110011010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000010011000000101011001100110101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000100110000001010110010001101010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001001000010001000000010011010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000010010000100010000000100110101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000100100001000100000000001101010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001001000000001101100110100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000010010000000011011001101001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000100100000000110110010010010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001001000010001000000010100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000010010000100010000000101001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000100100001000100000000010010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001001100000001101100110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000010011000000011011001101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000100110000000110110010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001001000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000010010000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000100100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001001000000011101100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000010010000000111011001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000100100000001110110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001001100000011101100110100110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000010011000000111011001101001101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000100110000001110110010010011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001001000010001000000010100110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000010010000100010000000101001101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000100100001000100000000010011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001001000000000011100111010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000010010000000000111001110101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000100100000000001110010101010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001001000010001000000011010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000010010000100010000000110101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000100100001000100000000101010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001001100000000011100111100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000010011000000000111001111000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000100110000000001110010110000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001001000010001000000011100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000010010000100010000000111000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000100100001000100000000110000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001001000000010011100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000010010000000100111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000100100000001001110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001001100000010011100110001110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000010011000000100111001100011101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000100110000001001110010000111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001001000010001000000010001110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000010010000100010000000100011101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000100100001000100000000000111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001001000000001011100110001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000010010000000010111001100011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000100100000000101110010000110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001001000010001000000010001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000010010000100010000000100011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000100100001000100000000000110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001001100000001011100110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000010011000000010111001100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000100110000000101110010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001001000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000010010000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000100100001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001001000000011011100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000010010000000110111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000100100000001101110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001001000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000010010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000100100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001001100000011011100110111110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000010011000000110111001101111101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000100110000001101110010011111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001001000010001000000010111110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000010010000100010000000101111101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000100100001000100000000011111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001001000000000111100111101101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000010010000000001111001111011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000100100000000011110010110110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000101000010001000000011101101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000001010000100010000000111011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000010100001000100000000110110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000101100000000111100111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000001011000000001111001110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000010110000000011110010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000101000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000001010000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000010100001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000101000000010111100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000001010000000101111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000010100000001011110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000101100000010111100110010001100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000001011000000101111001100100011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000010110000001011110010001000110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000101000010001000000010010001100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000001010000100010000000100100011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000010100001000100000000001000110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000101000000001111100110111101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000001010000000011111001101111010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000010100000000111110010011110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000101000010001000000010111101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000001010000100010000000101111010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000010100001000100000000011110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000101100000001111100110110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000001011000000011111001101100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000010110000000111110010011000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000101000010001000000010110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000001010000100010000000101100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000010100001000100000000011000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000101000000011111100111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000001010000000111111001111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000010100000001111110010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000101100000011111100110101001100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000001011000000111111001101010011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000010110000001111110010010100110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000101000010001000000010101001100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000001010000100010000000101010011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000010100001000100000000010100110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000101000000000000010111000011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000001010000000000000101110000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000010100000000000001010100001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000101000010001000000011000011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000001010000100010000000110000110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000010100001000100000000100001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000101100000000000010111110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000001011000000000000101111100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000010110000000000001010111000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000101000010001000000011110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000001010000100010000000111100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000010100001000100000000111000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000101000000010000010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000001010000000100000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000010100000001000001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000101100000010000010110000101100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000001011000000100000101100001011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000010110000001000001010000010110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000101000010001000000010000101100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000001010000100010000000100001011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000010100001000100000000000010110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000101000000001000010110010011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000001010000000010000101100100110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000010100000000100001010001001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000101000010001000000010010011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000001010000100010000000100100110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000010100001000100000000001001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000101100000001000010110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000001011000000010000101100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000010110000000100001010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000101000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000001010000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000010100001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000101000000011000010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000001010000000110000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000010100000001100001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000001000000000000000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000001000000000010000000000000000101100000011000010110110101100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000100000000000000001011000000110000101101101011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000001000000000000000010110000001100001010011010110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000010000000000000000101000010001000000010110101100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000010000000000100000000000000001010000100010000000101101011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000100000000001000000000000000010100001000100000000011010110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000001000000000010000000000000000101000000000100010111110011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000100000000000000001010000000001000101111100110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000001000000000000000010100000000010001010111001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000000000000000100000101000010001000000011110011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000000000000000000000000001000001010000100010000000111100110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000000000000000000000000010000010100001000100000000111001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000000000000000000000000000100000101100000000100010111001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000000000000001000001011000000001000101110010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000000000000000010000010110000000010001010100100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000000000000000100000101000010001000000011001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000000000000001000001010000100010000000110010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000000000000000010000010100001000100000000100100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000000000000000100000101000000010100010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000000000000001000001010000000101000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000000000000000010000010100000001010001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000000000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000101100000010100010110011101100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000001011000000101000101100111011000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000010110000001010001010001110110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000101000010001000000010011101100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000001010000100010000000100111011000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000010100001000100000000001110110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000101000000001100010110101011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000001010000000011000101101010110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000010100000000110001010010101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100000101000010001000000010101011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000001010000100010000000101010110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000010100001000100000000010101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100000101100000001100010110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000001011000000011000101101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000010110000000110001010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000101000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000001010000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000010100001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000101000000011100010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000001010000000111000101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000010100000001110001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000101100000011100010110100011100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000001011000000111000101101000111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000010110000001110001010010001110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000101000010001000000010100011100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000001010000100010000000101000111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000010100001000100000000010001110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000101000000000010010111011011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000001010000000000100101110110110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000010100000000001001010101101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000101000010001000000011011011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000001010000100010000000110110110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000010100001000100000000101101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000101100000000010010111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000001011000000000100101111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000010110000000001001010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000101000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000001010000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000010100001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000101000000010010010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000001010000000100100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000010100000001001001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000101100000010010010110001011100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000001011000000100100101100010111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000010110000001001001010000101110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000101000010001000000010001011100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000001010000100010000000100010111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000010100001000100000000000101110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000101000000001010010110000111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000001010000000010100101100001110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000010100000000101001010000011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000101000010001000000010000111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000001010000100010000000100001110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000010100001000100000000000011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000101100000001010010110011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000001011000000010100101100110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000010110000000101001010001100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000101000010001000000010011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000001010000100010000000100110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000010100001000100000000001100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000101000000011010010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000001010000000110100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000010100000001101001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000001010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000010100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000101100000011010010110111011100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000001011000000110100101101110111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000010110000001101001010011101110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000101000010001000000010111011100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000001010000100010000000101110111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000010100001000100000000011101110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000101000000000110010111100111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000001010000000001100101111001110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000010100000000011001010110011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001101000010001000000011100111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000011010000100010000000111001110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000110100001000100000000110011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001101100000000110010111011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000011011000000001100101110110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000110110000000011001010101100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001101000010001000000011011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000011010000100010000000110110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000110100001000100000000101100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001101000000010110010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000011010000000101100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000110100000001011001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001101100000010110010110010111100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000011011000000101100101100101111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000110110000001011001010001011110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001101000010001000000010010111100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000011010000100010000000100101111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000110100001000100000000001011110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001101000000001110010110110111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000011010000000011100101101101110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000110100000000111001010011011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001101000010001000000010110111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000011010000100010000000101101110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000110100001000100000000011011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001101100000001110010110111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000011011000000011100101101110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000110110000000111001010011100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001101000010001000000010111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000011010000100010000000101110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000110100001000100000000011100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001101000000011110010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000011010000000111100101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000110100000001111001010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001101100000011110010110101111100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000011011000000111100101101011111000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000110110000001111001010010111110000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001101000010001000000010101111100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000011010000100010000000101011111000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000110100001000100000000010111110000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001101000000000001010111001111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000011010000000000010101110011110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000110100000000000101010100111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001101000010001000000011001111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000011010000100010000000110011110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000110100001000100000000100111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001101100000000001010111111001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011011000000000010101111110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000110110000000000101010111100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001101000010001000000011111001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011010000100010000000111110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000110100001000100000000111100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001101000000010001010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011010000000100010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000110100000001000101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001101100000010001010110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000011011000000100010101100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000110110000001000101010000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001101000010001000000010000000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000011010000100010000000100000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000110100001000100000000000000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001101000000001001010110011111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000011010000000010010101100111110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000110100000000100101010001111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001101000010001000000010011111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000011010000100010000000100111110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000110100001000100000000001111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001101100000001001010110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000011011000000010010101100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000110110000000100101010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001101000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000011010000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000110100001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001101000000011001010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000011010000000110010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000110100000001100101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001101100000011001010110110000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011011000000110010101101100000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000110110000001100101010011000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001101000010001000000010110000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011010000100010000000101100000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000110100001000100000000011000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001101000000000101010111111111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000100000000000000011010000000001010101111111110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000001000000000000000110100000000010101010111111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000000000000000100001101000010001000000011111111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000000000000000000000000001000011010000100010000000111111110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000000000000000000000000010000110100001000100000000111111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000000000000000000000000000100001101100000000101010111000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000000000000001000011011000000001010101110001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000000000000000010000110110000000010101010100010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000000000000000100001101000010001000000011000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000000000000001000011010000100010000000110001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000000000000000010000110100001000100000000100010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000000000000000100001101000000010101010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000000000000001000011010000000101010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000000000000000010000110100000001010101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000000000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000000000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000000000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000000000000000100001101100000010101010110011000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000000000000001000011011000000101010101100110000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000000000000000010000110110000001010101010001100000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000000000000000100001101000010001000000010011000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000000000000001000011010000100010000000100110000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000000000000000010000110100001000100000000001100000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000000000000000100001101000000001101010110100000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011010000000011010101101000001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000110100000000110101010010000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001101000010001000000010100000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011010000100010000000101000001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000110100001000100000000010000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001101100000001101010110100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011011000000011010101101001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000110110000000110101010010010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001101000010001000000010100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011010000100010000000101001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000110100001000100000000010010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100001101000000011101010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000011010000000111010101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000110100000001110101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001101100000011101010110100100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000011011000000111010101101001000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000110110000001110101010010010000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001101000010001000000010100100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000011010000100010000000101001000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000110100001000100000000010010000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001101000000000011010111010000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000011010000000000110101110100001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000110100000000001101010101000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001101000010001000000011010000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000011010000100010000000110100001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000110100001000100000000101000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001101100000000011010111100101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000011011000000000110101111001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000110110000000001101010110010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001101000010001000000011100101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000011010000100010000000111001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000110100001000100000000110010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001101000000010011010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011010000000100110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000110100000001001101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001101100000010011010110001100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011011000000100110101100011000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000110110000001001101010000110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001101000010001000000010001100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011010000100010000000100011000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000110100001000100000000000110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001101000000001011010110001000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011010000000010110101100010001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000110100000000101101010000100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001101000010001000000010001000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011010000100010000000100010001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000110100001000100000000000100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001101100000001011010110010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011011000000010110101100101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000110110000000101101010001010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001101000010001000000010010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011010000100010000000100101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000110100001000100000000001010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001101000000011011010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011010000000110110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000110100000001101101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001101000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011010000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000110100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001101100000011011010110111100000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011011000000110110101101111000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000110110000001101101010011110000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001101000010001000000010111100000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011010000100010000000101111000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000110100001000100000000011110000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001101000000000111010111101000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011010000000001110101111010001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000110100000000011101010110100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000011000010001000000011101000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000000110000100010000000111010001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000001100001000100000000110100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000011100000000111010111010101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000000111000000001110101110101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000001110000000011101010101010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000011000010001000000011010101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000000110000100010000000110101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000001100001000100000000101010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000011000000010111010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000000110000000101110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000001100000001011101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000011100000010111010110010010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000000111000000101110101100100100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000001110000001011101010001001000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000011000010001000000010010010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000000110000100010000000100100100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000001100001000100000000001001000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000011000000001111010110111000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000000110000000011110101101110001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000001100000000111101010011100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000011000010001000000010111000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000000110000100010000000101110001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000001100001000100000000011100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000011100000001111010110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000000111000000011110101101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000001110000000111101010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000011000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000000110000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000001100001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000011000000011111010111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000000110000000111110101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000001100000001111101010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000011100000011111010110101010000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000000111000000111110101101010100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000001110000001111101010010101000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000011000010001000000010101010000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000000110000100010000000101010100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000001100001000100000000010101000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000011000000000000110111000100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000000110000000000001101110001001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000001100000000000011010100010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000011000010001000000011000100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000000110000100010000000110001001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000001100001000100000000100010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000011100000000000110111110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000000111000000000001101111101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000001110000000000011010111010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000011000010001000000011110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000000110000100010000000111101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000001100001000100000000111010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000011000000010000110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000000110000000100001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000001100000001000011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000011100000010000110110000110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000000111000000100001101100001100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000001110000001000011010000011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000011000010001000000010000110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000000110000100010000000100001100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000001100001000100000000000011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000011000000001000110110010100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000000110000000010001101100101001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000001100000000100011010001010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000011000010001000000010010100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000000110000100010000000100101001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000001100001000100000000001010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000011100000001000110110001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000000111000000010001101100011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000001110000000100011010000110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000011000010001000000010001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000000110000100010000000100011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000001100001000100000000000110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000011000000011000110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000000110000000110001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000001100000001100011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000011100000011000110110110110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000000111000000110001101101101100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000001110000001100011010011011000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000011000010001000000010110110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000000110000100010000000101101100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000001100001000100000000011011000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000011000000000100110111110100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000000110000000001001101111101001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000001100000000010011010111010010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000011000010001000000011110100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000000110000100010000000111101001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000001100001000100000000111010010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000011100000000100110111001101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000000111000000001001101110011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000001110000000010011010100110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000011000010001000000011001101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000000000000000000000000001000000110000100010000000110011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000000000000000000000000010000001100001000100000000100110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000000000000000000000000000100000011000000010100110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000000000000000000000000001000000110000000101001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000000000000000000000000010000001100000001010011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000000000000000000000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000000000000000000000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000000000000000000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000000000000000100000011100000010100110110011110000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000000000000001000000111000000101001101100111100000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000000000000000010000001110000001010011010001111000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000000000000000100000011000010001000000010011110000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000000000000001000000110000100010000000100111100000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000000000000000010000001100001000100000000001111000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000000000000000100000011000000001100110110101100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000000000000001000000110000000011001101101011001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000000000000000010000001100000000110011010010110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000000000000000100000011000010001000000010101100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000000000000001000000110000100010000000101011001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000000000000000010000001100001000100000000010110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000000000000000100000011100000001100110110101101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000000000000001000000111000000011001101101011010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000000000000000010000001110000000110011010010110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100000011000010001000000010101101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000000110000100010000000101011010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000010000001100001000100000000010110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000100000011000000011100110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000001000000110000000111001101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000010000001100000001110011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100000011100000011100110110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000000111000000111001101101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000001110000001110011010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100000011000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000000110000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000001100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100000011000000000010110111011100100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000000110000000000101101110111001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000001100000000001011010101110010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000011000010001000000011011100100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000000110000100010000000110111001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000001100001000100000000101110010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000011100000000010110111000001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000000111000000000101101110000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000001110000000001011010100000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000011000010001000000011000001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000000110000100010000000110000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000001100001000100000000100000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000011000000010010110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000000110000000100101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000001100000001001011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000011100000010010110110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000000111000000100101101101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000001110000001001011010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000011000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000000110000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000001100001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000011000000001010110111000010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000000110000000010101101110000101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000001100000000101011010100001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000011000010001000000011000010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000000110000100010000000110000101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000001100001000100000000100001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000011100000001010110110100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000000111000000010101101101000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000001110000000101011010010000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000011000010001000000010100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000000110000100010000000101000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000001100001000100000000010000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000011000000011010110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000000110000000110101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000001100000001101011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000000110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000001100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000011100000011010110110000101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000000111000000110101101100001010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000001110000001101011010000010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000011000010001000000010000101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000000110000100010000000100001010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000001100001000100000000000010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000011000000000110110110010010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000000110000000001101101100100101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000001100000000011011010001001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001011000010001000000010010010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000010110000100010000000100100101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000101100001000100000000001001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001011100000000110110111100001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000010111000000001101101111000010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000101110000000011011010110000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001011000010001000000011100001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000010110000100010000000111000010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000101100001000100000000110000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001011000000010110110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000010110000000101101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000101100000001011011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001011100000010110110110110101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000010111000000101101101101101010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000101110000001011011010011010100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001011000010001000000010110101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000010110000100010000000101101010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000101100001000100000000011010100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001011000000001110110111110010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000010110000000011101101111100101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000101100000000111011010111001010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001011000010001000000011110010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000010110000100010000000111100101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000101100001000100000000111001010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001011100000001110110110010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000010111000000011101101100100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000101110000000111011010001000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001011000010001000000010010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000010110000100010000000100100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000101100001000100000000001000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001011000000011110110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000010110000000111101101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000101100000001111011010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001011100000011110110110011101000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000010111000000111101101100111010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000101110000001111011010001110100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001011000010001000000010011101000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000010110000100010000000100111010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000101100001000100000000001110100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001011000000000001110110101010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000010110000000000011101101010101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000101100000000000111010010101010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001011000010001000000010101010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000010110000100010000000101010101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000101100001000100000000010101010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001011100000000001110111010001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000010111000000000011101110100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000101110000000000111010101000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001011000010001000000011010001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000010110000100010000000110100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000101100001000100000000101000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001011000000010001110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000010110000000100011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000101100000001000111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001011100000010001110110100011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000010111000000100011101101000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000101110000001000111010010001100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001011000010001000000010100011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000010110000100010000000101000110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000101100001000100000000010001100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001011000000001001110111011010100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000010110000000010011101110110101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000101100000000100111010101101010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001011000010001000000011011010100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000010110000100010000000110110101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000101100001000100000000101101010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001011100000001001110110110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000010111000000010011101101100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000101110000000100111010011000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001011000010001000000010110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000010110000100010000000101100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000101100001000100000000011000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001011000000011001110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000010110000000110011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000101100000001100111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001011100000011001110110001011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000010111000000110011101100010110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000101110000001100111010000101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001011000010001000000010001011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000010110000100010000000100010110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000101100001000100000000000101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001011000000000101110110000110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000010110000000001011101100001101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000101100000000010111010000011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001011000010001000000010000110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000010110000100010000000100001101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000101100001000100000000000011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001011100000000101110111110001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000010111000000001011101111100010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000101110000000010111010111000100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001011000010001000000011110001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000010110000100010000000111100010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000101100001000100000000111000100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001011000000010101110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000010110000000101011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000101100000001010111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000100000000000000000000000000000000100001011100000010101110110111011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000000000000000000000000001000010111000000101011101101110110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000000000000000000000000010000101110000001010111010011101100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000000000000000000000000000100001011000010001000000010111011000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000001000000000000000000000000000000001000010110000100010000000101110110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000010000000000000000000000000000000010000101100001000100000000011101100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000100000000000000000000000000000000100001011000000001101110111100110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000000000000000000000000001000010110000000011011101111001101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000000000000000000000000010000101100000000110111010110011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000000000000000100001011000010001000000011100110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000000000000000010000000000000000000001000010110000100010000000111001101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000000000000000100000000000000000000010000101100001000100000000110011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001000000000000000000000001000000000000000000000100001011100000001101110110001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000000000000001000010111000000011011101100010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000000000000000010000101110000000110111010000100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000000000000000100001011000010001000000010001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010100000000000000000000010000000000000000000001000010110000100010000000100010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000101000000000000000000000100000000000000000000010000101100001000100000000000100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000000101111111111111100001100001100010001010000000000000000000001000000000000000000000100001011000000011101110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000000000000001000010110000000111011101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000010000101100000001110111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000100001011100000011101110110010111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000001000010111000000111011101100101110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000010000101110000001110111010001011100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000100001011000010001000000010010111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000001000010110000100010000000100101110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000010000101100001000100000000001011100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000100001011000000000011110110110110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000001000010110000000000111101101101101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000101100000000001111010011011010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100001011000010001000000010110110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000010110000100010000000101101101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000101100001000100000000011011010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100001011100000000011110111001001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000010111000000000111101110010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000101110000000001111010100100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100001011000010001000000011001001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000010110000100010000000110010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000101100001000100000000100100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001011000000010011110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000010110000000100111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000101100000001001111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001011100000010011110110101111000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000010111000000100111101101011110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000101110000001001111010010111100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001011000010001000000010101111000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000010110000100010000000101011110000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000101100001000100000000010111100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001011000000001011110111001110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000010110000000010111101110011101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000101100000000101111010100111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001011000010001000000011001110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000010110000100010000000110011101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000101100001000100000000100111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001011100000001011110110101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000010111000000010111101101010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000101110000000101111010010100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001011000010001000000010101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000010110000100010000000101010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000101100001000100000000010100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001011000000011011110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000010110000000110111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000101100000001101111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001011000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000010110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000101100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001011100000011011110110000000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000010111000000110111101100000001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000101110000001101111010000000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001011000010001000000010000000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000010110000100010000000100000001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000101100001000100000000000000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001011000000000111110110011110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000010110000000001111101100111101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000101100000000011111010001111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000000111000010001000000010011110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000001110000100010000000100111101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000011100001000100000000001111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000000111100000000111110111101001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000001111000000001111101111010010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000011110000000011111010110100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000000111000010001000000011101001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000001110000100010000000111010010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000011100001000100000000110100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000000111000000010111110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000001110000000101111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000011100000001011111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000000111000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000001110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000011100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000000111100000010111110110110000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000001111000000101111101101100001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000011110000001011111010011000010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000000111000010001000000010110000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000001110000100010000000101100001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000011100001000100000000011000010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000000111000000001111110111111110100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000001110000000011111101111111101000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000011100000000111111010111111010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000000111000010001000000011111110100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000001110000100010000000111111101000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000011100001000100000000111111010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000000111100000001111110110011001000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000001111000000011111101100110010000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000011110000000111111010001100100000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000000111000010001000000010011001000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000001110000100010000000100110010000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000011100001000100000000001100100000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000000111000000011111110111100000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000001110000000111111101111000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000011100000001111111010110000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000000111000010001000000011100000000000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000001110000100010000000111000000000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000011100001000100000000110000000000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000000111100000011111110110011000100000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000001111000000111111101100110001000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000011110000001111111010001100010000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000000111000010001000000010011000100000001011000100000110000111101000000010001101101111000001110010001000000000001000000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000001110000100010000000100110001000000010110001000001100001111010000000100011011011110000011100100010000000000010000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000011100001000100000000001100010000000101100010000011000011110100000001000110110111100000111001000100000000000100000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000000111111111111111111110000000000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000001111111111111111111100000000000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000011111111111111111110000000000000000101100010000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000000111000000111111101110000011000000001011000100000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000001110000001111111011100000110000000010110001000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000011100000011111110110000001100100000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000000111000000010111111011101001101000000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000001110000000101111110111010011010000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000011100000001011111100110100110010000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000000111100000010111111011110000100100000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000001111000000101111110111100001001000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000011110000001011111100111000010110000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000000111000000001111111011100000101100000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000001110000000011111110111000001011000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000011100000000111111100110000010001000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000000111011111111111111110000000000010000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000001110111111111111111100000000000100000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000011101111111111111110000000000101000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000000111100000111000011111100000101010000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000001111000001110000111111000001010100000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000011110000011100001110110000010000000000001100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000000111000000100100011110000001000000000000011000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000001110000001001000111100000010000000000000110000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000011100000010010001110000000100100000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000000111000000001111111011100000101000000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000001110000000011111110111000001010000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000011100000000111111100110000010010000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000000111100000001111111010000010100100000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000001111000000011111110100000101001000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000011110000000111111100000001010110000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000000111000000011111111011001100101100000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000001110000000111111110110011001011000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000011100000001111111100100110010001000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000000111100000011111111010000000100010000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000001111000000111111110100000001000100000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000011110000001111111100000000010101000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000000111011111111111111110000000001010000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000001110111111111111111100000000010100000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000011101111111111111110000000000000000000000100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000000111100000100110010010011001000000000000001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000001111000001001100100100110010000000000000010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000011100000011011001000100110010100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000001000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000010000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000100000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000001000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000010000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000100000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000001000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000000000000000010000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000000000000000100000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000000000000001000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000001000000000000000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000000000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000000000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000000000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100000111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000001111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000011110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100000111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000001110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000011110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100000111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000001111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000011100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000000000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000000000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000000000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000000000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000000000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000000000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000000000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000000000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000000000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000000001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000000000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000000000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000000001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000000000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000000000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000000001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000000000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000000000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000000000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000000000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000000000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000000000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000000000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000000000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000000000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000000000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000000000000000100000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000000000000001000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000000000000000010000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000000000000000100000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000100000000001000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000001000000000010000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000010000000000100000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000100000000001000000000000000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000001000000000010000000000000000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000010000000000100000000000000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000100000000001000000000000000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000001000000000010000000000000000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000101000000000010000000000100000000000000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001010000000000100000000001000000000000000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010100000000001000000000010000000000000000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000101000000000010000000000100000000000000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001000000000000000000000000000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010000000000000000000000000000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000010001000100000000000000000000000000000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001100010001000000000000000000000000000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000011000100010000000000000000000000000000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000110001000100000000000000000000000000000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000100010001010000000000000000000000000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000001000100010100000000000000000000000000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000000000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000000000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000000000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000000000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000000000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000000000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000000000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000000000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000000000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000000000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000000000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000000000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000000000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000000000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000000000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000000000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000000000000001000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000000000000000010000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000000000000000100000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000000000000001000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000000000000000010000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000000000000000100000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000000000000001000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000000000000000010000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000000000000000100000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000000000000001000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000000000000000010000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000000000000000100000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001000000000000100000000001000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010000000000001000000000010000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000100000000000010000000000100000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001000000000000100000000001000000000010000000000100001111000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010000000000001000000000010000000000100000000001000011110000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000100000000000010000000000100000000001000000000010000111110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000100010001010000000000100000000001000000000010000000000100001111100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000001000100010100000000001000000000010000000000100000000001000011111000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000010001000101000000000010000000000100000000001000000000010000111100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001100010001010000000000100000000001000000000010000000000100001111100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000011000100010100000000001000000000010000000000100000000001000011111000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000110001000101000000000010000000000100000000001000000000010000111110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000000000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000000000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000000001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000000000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000000001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000000010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000000000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000000010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001000100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000010001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000100010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001000000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000010000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000100010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001000100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000010001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000100000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000010010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000010000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000001001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000010010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000010000000000100001100100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000100000000001000011001000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000001000000000010000110000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001100100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011001000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000110010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001100000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011000000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000110010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000010000000000100000010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000100000000001000000101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000001000000000010000001010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000010000000000100000010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000100000000001000000100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000001000000000010000001010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000010000000000100000010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000100000000001000000101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000001000000000010000001000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000010000000000100001010000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000100000000001000010100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000001000000000010000101010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000010000000000100001010100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000100000000001000010101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000001000000000010000101000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000010000000000100001010100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000100000000001000010101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000001000000000010000101010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000011000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000001000000000010000011010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000001000000000010000000000100000110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000010000000000100000000001000001100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000100000000001000000000010000011010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000000000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000000000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000000000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000000000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001110100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000011101000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000111010000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001110000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011100000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000111010000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001110100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011101000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000111000000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000000000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000000000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000000000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000000000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000000000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000000000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000000000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000000000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000000000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000000010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000000110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000000011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000000100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000000011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000000110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000000000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000000000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000000000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000000000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000000000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000000000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000000000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000000000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001001100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000010011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000100100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001001100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000010011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000100110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001001000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000010010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000100110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000100000000000000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000001000000000000000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000010000000000000000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000000000000001000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000000000000000010000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000000000000000100000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000000000000001000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000000000000000010000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000000000000000100000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000000000000001000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000000000000000010000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000000000000000100000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001010000000000000000000001000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010100000000000000000000010000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000101000000000000000000000100000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001000000000000100000000001000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010000000000001000000000010000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000100000000000010000000000100000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100001010010001000000000000100000000001000000000000000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000010100100010000000000001000000000010000000000000000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001011000001001100100100110010000000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000010110000010011001000001100100100000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000101000000110110010011001100101000000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001010000001101100100110011001010000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000010110000011011001000000000010010000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000101100000110110010010000000100100000011001000000110000111111000000010001101101111000001110010001000000000001100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000001011000001101100100100000001001000000110010000001100001111110000000100011011011110000011100100010000000000011000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000010100000010111001000000001000000000001100100000011000011111100000001000110110111100000111001000100000000000110000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000000101100000100110010010011001000000000011001000000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110001001000100000000000000000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001010010001000000000000000000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000010100100010000000000000000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011001000100100010000000000000000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000101110111111111111111000110000001001000100000000000000000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111010100100010100000000000000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110101001000101000000000000000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101100000010010001000000000000100000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111100010010001010000000000100000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110000100100010100000000001000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111110001001000101000000000010000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101100001010010001010000000000100000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100100010010001010000000000100000000000000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000010111011111111111111100011000000100100010100000000001000000000000000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000000000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000000000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000000000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000000000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000000000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000000000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000000000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000000000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000100000000000000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111101010010001000000000000000000000000000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111010100100010000000000000000000000000000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100101001000100000000000000000000000000000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100000010010001010000000000000000000000000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100000111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000001110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000011110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100000111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000001111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000011100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100000111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000001111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000011110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000001000000000000000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000010000000000000000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000100000000000000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000001000000000000000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000010000000000000000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000100000000000000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000001000000000000000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000010000000000000000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000000000000001000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000000000000000010000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000000000000000100000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001000000000000000000000001000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010000000000000000000000010000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000100000000000000000000000100000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001010000000000000000000001000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010100000000000000000000010000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000101000000000000000000000100000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001010010001010000000000000000000001000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000010100100010100000000000000000000010000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000101001000101000000000000000000000100000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000010010001000000000000100000000001000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000000100100010000000000001000000000010000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000001001000100000000000010000000000100000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000000001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000000000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000000000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000000001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000000000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000000000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000000001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000000000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000000000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001000000000000000000000000000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010000000000000000000000000000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000100000000000000000000000000000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001000000000000000000000000000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010000000000000000000000000000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000101001000100000000000000000000000000000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000010010001010000000000000000000000000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000000100100010100000000000000000000000000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000001001000101000000000000000000000000000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001010010001010000000000000000000000000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000010100100010100000000000000000000000000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110101001000101000000000000000000000000000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000010010001000000000000100000000000000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011111000100100010000000000001000000000000000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110001001000100000000000010000000000000000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101010010001000000000000100000000000000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111010100100010000000000001000000000000000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010110000101001000100000000000010000000000000000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000000000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000000000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000000000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000000000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000000000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000000000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000000000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000000000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000000000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000000000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000000000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000000000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000000000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000000000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000000000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000000000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000000000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000000000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000000000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000000000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000000000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000000000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000000000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000000000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000000000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000000000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000000000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000000000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000000000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000000000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000000000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000000000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000000000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000000000000001000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000000000000000010000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000000000000000100000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000000000000001000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000000000000000010000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000000000000000100000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000000000000001000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000000000000000010000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000000000000000100000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000000000000001000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000000000000000010000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000000000000000100000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001000000000000100000000001000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010000000000001000000000010000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000100000000000010000000000100000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001000000000000100000000001000000000010000000000100001111100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010000000000001000000000010000000000100000000001000011111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000100000000000010000000000100000000001000000000010000111100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000010010001010000000000100000000001000000000010000000000100001111100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000000100100010100000000001000000000010000000000100000000001000011111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000001001000101000000000010000000000100000000001000000000010000111110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001010010001010000000000100000000001000000000010000000000100001111000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000010100100010100000000001000000000010000000000100000000001000011110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000101001000101000000000010000000000100000000001000000000010000111110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110010111001000101000000000000000000000100000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001011101111111111111110001100000110010001000000000000100000000001000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000000000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000000000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000000000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000000000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000000000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000000000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000000000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000000000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000000000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110011001000101000000000010000000000000000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001010000000000100000000000000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010100000000001000000000000000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111110111001000101000000000010000000000000000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001000000000000000000000001000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000000000000000010000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000100000000000000000000000100000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000000001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000000010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000000000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000000010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000000001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000000000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000000001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000000000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000000000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000000001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000000000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000000000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000000001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000000000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000000000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111011100100010000000000000000000000010000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000100000000000000000000000100000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001010000000000000000000001000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010100000000000000000000010000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000101000000000000000000000100000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001010000000000000000000001000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010100000000000000000000010000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000111001000101000000000000000000000100000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001000000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000010000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000100010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001000100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000010001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000100000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001000100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000010001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000100010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011001011100100010000000000000000000000000000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000101110111111111111111000110000111001000100000000000000000000000000000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000000000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000000000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000000000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000000000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000000000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000000000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000000000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111100110010001000000000000100000000001000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000001000000000010000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000100000000000010000000000100000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001000000000000100000000001000000000000000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010000000000001000000000010000000000000000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100111001000100000000000010000000000100000000000000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001010000000000100000000001000000000000000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011000001100100010100000000001000000000010000000000000000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000001001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000010000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000001001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000010010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000001000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000010010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111101110010001010000000000100000000001000000000000000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010100000000001000000000010000000000000000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110111001000101000000000010000000000100000000000000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000110010001000000000000000000000000000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000001100100010000000000000000000000000000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110011001000100000000000000000000000000000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001000000000000000000000000000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010000000000000000000000000000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001100100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000011001000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000110010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001100000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000011000000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000110010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001100100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000011001000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000110000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100101110010001010000000000100000000000000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000010111011111111111111100011000011100100010100000000001000000000000000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110111001000100000000000000000000000000000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001010000000000000000000000000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111001100100010100000000000000000000000000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110011001000101000000000000000000000000000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001010000000000000000000000000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010100000000000000000000000000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110111001000101000000000000000000000000000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101100000110010001000000000000100000000000000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000000100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000001010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000000101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000001000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000000101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000001010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000000000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000000000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000000000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110011001000100000000000010000000000000000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001000000000000100000000000000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011111011100100010000000000001000000000000000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000100000000000010000000000000000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001010000000000100000000000000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111001100100010100000000001000000000000000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100011001000101000000000010000000000000000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001010000000000100000000000000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010100000000001000000000000000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010110000111001000101000000000010000000000000000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001010100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000010101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000101000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001010100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000010101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000101010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001010000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000010100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000101010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110010011001000100000000000010000000000100000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001011101111111111111110001100001110010001000000000000100000000001000000000010000000000000000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000000000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000000000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000000000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000000000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000000000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000000000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000000000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000000000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000000000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111011100100010100000000001000000000000000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000101000000000010000000000000000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111000110010001000000000000000000000001000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011111001100100010000000000000000000000010000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110011001000100000000000000000000000100000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001000000000000000000000001000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010000000000000000000000010000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111110111001000100000000000000000000000100000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001010000000000000000000001000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010100000000000000000000010000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000101000000000000000000000100000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000001101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000011010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000001100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000011010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000001101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000011000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000000000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000000000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000000000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000000000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000000000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000000000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000000000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000000000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000000000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011110001100100010100000000000000000000010000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000101000000000000000000000100000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001111001110010001010000000000000000000001000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011110011100100010100000000000000000000010000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100111001000101000000000000000000000100000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001000000000000100000000001000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000001000000000010000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000100000000000010000000000100000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001000000000000100000000001000000000010000000000100001110000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010000000000001000000000010000000000100000000001000011100000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000111001000100000000000010000000000100000000001000000000010000111010000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001110100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000011101000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000111000000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001110100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000011101000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000111010000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011001001100100010100000000000000000000000000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000101110111111111111111000110000011001000101000000000000000000000000000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000000000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000000000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000000000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000000000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111001110010001000000000000100000000001000000000010000000000100000001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011110011100100010000000000001000000000010000000000100000000001000000011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000111001000100000000000010000000000100000000001000000000010000000100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001111000110010001010000000000100000000001000000000010000000000100000001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011110001100100010100000000001000000000010000000000100000000001000000011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000101000000000010000000000100000000001000000000010000000110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111101110010001010000000000100000000001000000000010000000000100000001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110011100100010100000000001000000000010000000000100000000001000000010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100111001000101000000000010000000000100000000001000000000010000000110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111100110010001000000000000000000000000000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011000001100100010000000000000000000000000000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000000000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000000000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000000000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000000000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000000000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000000000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000000000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100001001100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000010011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000100110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100001001000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000010010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000100110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001001100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000010011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000100100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001111101110010001000000000000000000000000000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011000011100100010000000000000000000000000000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110111001000100000000000000000000000000000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000110010001010000000000000000000000000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000001100100010100000000000000000000000000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111100011001000101000000000000000000000000000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001111001110010001010000000000000000000000000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010100000000000000000000000000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100100110010001000000000000000000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000010111011111111111111100011000001100100010000000000000000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000000000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000000000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000000000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000000000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000000000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000000000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000000000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000100000101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000001000001010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000010000010110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000100000101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000001000001011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000010000010100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100000101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000001011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000010110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110111001000101000000000000000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111000110010001000000000000100000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000001100100010000000000001000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110011001000100000000000010000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111001110010001000000000000100000000000000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000011011011111111111111000011000011100100010000000000001000000000000000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111100111001000100000000000010000000000000000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100000110010001010000000000100000000000000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000000000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000000000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000100001101100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000001000011011000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000010000110100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000100001101100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000001000011011000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000010000110110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000100001101000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000001000011010000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000010000110110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000111110011001000101000000000010000000000000000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001010000000000100000000000000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010100000000001000000000000000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111100111001000101000000000010000000000000000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100000110010001000000000000000000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000111110011001000100000000000000000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111101110010001000000000000000000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011111011100100010000000000000000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010110000111001000100000000000000000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110010111001000100000000000010000000000100000000000000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000001011101111111111111110001100000110010001010000000000100000000001000000000000000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000000000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000000000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000000000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000000000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000000000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000000000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000100000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000001000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000010000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000100000000001000000000010000000000100000011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000001000000000010000000000100000000001000000111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000010000000000100000000001000000000010000001110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001010000000000100000000001000000000010000000000100000011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010100000000001000000000010000000000100000000001000000110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000101000000000010000000000100000000001000000000010000001110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001010000000000100000000001000000000010000000000100000011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010100000000001000000000010000000000100000000001000000111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000101000000000010000000000100000000001000000000010000001100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100000110010001000000000000000000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000001100100010000000000000000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000011001000100000000000000000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000000101111111111111100001100001110010001000000000000000000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000001011111111111111000011000011100100010000000000000000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000010111111111111110000110000111001000100000000000000000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011111011100100010000000000000000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110111001000100000000000000000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001010000000000000000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010100000000000000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000111100011001000101000000000000000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000001101101111111111111100001100001110010001010000000000000000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011111011100100010100000000000000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000001111110111111111111110010111110111001000101000000000000000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000011111101111111111111100101111100110010001000000000000100000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000111111011111111111111001011110001100100010000000000001000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000110110111111111111110000110000011001000100000000000010000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000010000000000000001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000100000000000000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000001000000000000000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000010000000000000001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000100000000000000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000001000000000000000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000010000000000000001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000100000000000000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000001000000000000000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000000000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000000000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000000000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000000000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000000000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000000000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000000000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000000000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000000000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000000000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000000000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000000000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000000000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000000000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000000000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000000000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000000000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000000000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000100000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000001000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000010000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001000000000000100000000001000000000000000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010000000000001000000000010000000000000000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000100000000000010000000000100000000000000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001010000000000100000000001000000000000000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010100000000001000000000010000000000000000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000101000000000010000000000100000000000000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100001110010001010000000000100000000001000000000000000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000011100100010100000000001000000000010000000000000000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000111001000101000000000010000000000100000000000000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000001010000000000001101111111111111100001100000110010001000000000000000000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000010100000000000011011111111111111000011000001100100010000000000000000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000101000000000000110111111111111110000110000011001000100000000000000000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000000000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000000000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000100000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000001000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000010000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000100000000000000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000001000000000000000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000010000000000000000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000100000000000000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000001000000000000000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000010000000000000000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000100000000000000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010100000000001000000000000000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000101000000000010000000000000000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001000000000000000000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010000000000000000000000010000000000100000000001000010111000001101100100100000001001000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000100000000000000000000000100000000001000000000010000101100000010111001000000001000000000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001000000000000000000000001000000000010000000000100001011100000100110010010011001000000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000011100100010000000000000000000000010000000000100000000001000010111000001001100100100110010000000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000111001000100000000000000000000000100000000001000000000010000101110000010011001000001100100100000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100000110010001010000000000000000000001000000000010000000000100001011000000110110010011001100101000000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011000001100100010100000000000000000000010000000000100000000001000010110000001101100100110011001010000000110010100001100001111110000000100011011011110000011100100010000000000001000000000000110111111111111110000110000011001000101000000000000000000000100000000001000000000010000101110000011011001000000000010010000001100101000011000011111100000001000110110111100000111001000100000000000010000000000001101111111111111100001100001110010001010000000000000000000001000000000010000000000100001011100000110110010010000000100100000011001010000110000111111000000010001101101111000001110010001000000000000100000000000011011111111111111000011</data>\r
+          <data global_temp="1" name="log: 2016/07/28 22:54:47  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">0000111111101001000000000000000000000100000000001000000000010000110001100010001010010000100010100000000100011100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100001100011111111111111110000111101000000001000111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000011000111111111111111100001111010000000010001110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000110001111111111111110000011110000000000100011100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100001100111000100010100110000101100000000001000111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000011001110001000101001100001011000000000010001110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000110011100010001010010000010110100000000010111100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100001100011000110010100110101111101000000000101111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000011000110001100101001101011111010000000001011110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000110001100011001010010010111110010000000010111100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100001100111000101100100111011000100100000000101111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000011001110001011001001110110001001000000001011110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000110011100010110010010101100010000000000010111100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000000010111000101100100111011000100000000000101111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000000101110001011001001110110001000000000001011110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000001011100010110010010101100010100000101100011100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000000010011000111100100111110000001000001011000111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000000100110001111001001111100000010000010110001110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000001001100011110010010111000000010000101100011100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000000010111000111100100110000010000100001011000111000101001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000000101110001111001001100000100001000010110001110001010011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000001011100011110010010000001000110000101100011100010100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000000010100010011000000010000000001100001011000110000111001111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000000101000100110000000100000000011000010110001100001110011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000001010001001100000000000000000000000101100011000011100111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000000010011000100010100110001000100000001011000110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000000100110001000101001100010001000000010110001100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000001001100010001010010000100010100000000100011000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000000010011111111111111110000111101000000001000110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000000100111111111111111100001111010000000010001100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000001001111111111111110000011110000000000100011000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000000010111000100010100110000101100000000001000110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000000101110001000101001100001011000000000010001100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000001011100010001010010000010110100000000010111000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000000010011000110010100110101111101000000000101110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000000100110001100101001101011111010000000001011100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000001001100011001010010010111110010000000010111000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000000010111000101100100111011000100100000000101110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000000101110001011001001110110001001000000001011100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000001011100010110010010101100010000000000010111000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000000010111000101100100111011000100000000000101110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000000101110001011001001110110001000000000001011100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000001011100010110010010101100010100000101100011000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000000010011000111100100111110000001000001011000110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000000100110001111001001111100000010000010110001100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000001001100011110010010111000000010000101100011000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000000010111000111100100110000010000100001011000110000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000000101110001111001001100000100001000010110001100001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000001011100011110010010000001000110000101100011000011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000000010100010011000000010000000001100001011000111000111001111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000000101000100110000000100000000011000010110001110001110011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000001010001001100000000000000000000000101100011100011100111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000000010011000100010100110001000100000001011000111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000000100110001000101001100010001000000010110001110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000001001100010001010010000100010100000000100011100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000000010011111111111111110000111101000000001000111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000000100111111111111111100001111010000000010001110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000001001111111111111110000011110000000000100011100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000000010111000100010100110000101100000000001000111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000000101110001000101001100001011000000000010001110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000001011100010001010010000010110100000000010111100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000000010011000110010100110101111101000000000101111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000000100110001100101001101011111010000000001011110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000001001100011001010010010111110010000000010111100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000000010111000101100100111011000100100000000101111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000000101110001011001001110110001001000000001011110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000001011100010110010010101100010000000000010111100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000000010111000101100100111011000100000000000101111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000000101110001011001001110110001000000000001011110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000001011100010110010010101100010100000101100011100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000000010011000111100100111110000001000001011000111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000000100110001111001001111100000010000010110001110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000001001100011110010010111000000010000101100011100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000000010111000111100100110000010000100001011000111000111001111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000000101110001111001001100000100001000010110001110001110011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000001011100011110010010000001000110000101100011100011100111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000000010100010011000000010000000001100001011000110000100101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000000101000100110000000100000000011000010110001100001001011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000001010001001100000000000000000000000101100011000010010111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000000010011000100010100110001000100000001011000110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000000100110001000101001100010001000000010110001100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000001001100010001010010000100010100000000100011000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000000010011111111111111110000111101000000001000110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000000100111111111111111100001111010000000010001100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000001001111111111111110000011110000000000100011000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000000010111000100010100110000101100000000001000110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000000101110001000101001100001011000000000010001100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000001011100010001010010000010110100000000010111000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000000010011000110010100110101111101000000000101110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000000100110001100101001101011111010000000001011100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000001001100011001010010010111110010000000010111000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000000010111000101100100111011000100100000000101110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000000101110001011001001110110001001000000001011100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000001011100010110010010101100010000000000010111000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000000010111000101100100111011000100000000000101110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000000101110001011001001110110001000000000001011100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000001011100010110010010101100010100000101100011000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000000010011000111100100111110000001000001011000110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000000100110001111001001111100000010000010110001100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000001001100011110010010111000000010000101100011000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000000010111000111100100110000010000100001011000110000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000000101110001111001001100000100001000010110001100001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000001011100011110010010000001000110000101100011000010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000000010100010011000000010000000001100001011000111000100101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000000101000100110000000100000000011000010110001110001001011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000001010001001100000000000000000000000101100011100010010111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000000010011000100010100110001000100000001011000111000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000000100110001000101001100010001000000010110001110001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000001001100010001010010000100010100000000100011100010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100000010011111111111111110000111101000000001000111000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000000100111111111111111100001111010000000010001110001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000001001111111111111110000011110000000000100011100010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100000010111000100010100110000101100000000001000111000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000000101110001000101001100001011000000000010001110001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000001011100010001010010000010110100000000010111100010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100000010011000110010100110101111101000000000101111000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000000100110001100101001101011111010000000001011110001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000001001100011001010010010111110010000000010111100010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100000010111000101100100111011000100100000000101111000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000000101110001011001001110110001001000000001011110001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000001011100010110010010101100010000000000010111100010010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100000010111000101100100111011000100000000000101111000100101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000000101110001011001001110110001000000000001011110001001011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000001011100010110010010101100010100000101100011100010010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000000000000000000000000000100000010011000111100100111110000001000001011000111000100101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000000000000000000000000001000000100110001111001001111100000010000010110001110001001011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000000000000000000000000010000001001100011110010010111000000010000101100011100010010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000000000000000000000000000100000010111000111100100110000010000100001011000111000100101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000000000000000000000000001000000101110001111001001100000100001000010110001110001001011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000000000000000000000000010000001011100011110010010000001000110000101100011100010010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000000000000000000000000000100000010100010011000000010000000001100001011000110000110101111101000010000000000000000000000000000000000000000000000000000000000110111110111101000011000011111110100100000000001000000000000000000000000000000001000000101000100110000000100000000011000010110001100001101011111010000100000000000000000000000000000000000000000000000000000000001101111101111010000110000111111101001000000000010000000000000000000000000000000010000001010001001100000000000000000000000101100011000011010111110100001000000000000000000000000000000000000000000000000000000000011011111011110100001100000111111010000000000000000000000001000000000000000000000100000010011000100010100110001000100000001011000110000110101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000010000000000000000000001000000100110001000101001100010001000000010110001100001101011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000100000000000000000000010000001001100010001010010000100010100000000100011000011010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000001000000000000000000000100000010011111111111111110000111101000000001000110000110101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000010000000000000000000001000000100111111111111111100001111010000000010001100001101011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000100000000000000000000010000001001111111111111110000011110000000000100011000011010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000001000000000000000000000100000010111000100010100110000101100000000001000110000110101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000010000000000000000000001000000101110001000101001100001011000000000010001100001101011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000100000000000000000000010000001011100010001010010000010110100000000010111000011010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000001000000000000000000000100000010011000110010100110101111101000000000101110000110101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000010000000000000000000001000000100110001100101001101011111010000000001011100001101011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000100000000000000000000010000001001100011001010010010111110010000000010111000011010111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000001000000000000000000000100000010111000101100100111011000100100000000101110000110101111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000010000000000000000000001000000101110001011001001110110001001000000001011100001101011111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000100000000000000000000010000001011100010110010010101100010000000000010111000011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100000010111000101100100111011000100000000000101110000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000000101110001011001001110110001000000000001011100001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000001011100010110010010101100010100000101100011000011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100000010011000111100100111110000001000001011000110000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000000100110001111001001111100000010000010110001100001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000001001100011110010010111000000010000101100011000011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100000010111000111100100110000010000100001011000110000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000000101110001111001001100000100001000010110001100001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000001011100011110010010000001000110000101100011000011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100000010100010011000000010000000001100001011000111000110101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000000101000100110000000100000000011000010110001110001101011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000001010001001100000000000000000000000101100011100011010111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100000010011000100010100110001000100000001011000111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000000100110001000101001100010001000000010110001110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000001001100010001010010000100010100000000100011100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100000010011111111111111110000111101000000001000111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000000100111111111111111100001111010000000010001110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000001001111111111111110000011110000000000100011100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100000010111000100010100110000101100000000001000111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000000101110001000101001100001011000000000010001110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000001011100010001010010000010110100000000010111100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100000010011000110010100110101111101000000000101111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000000100110001100101001101011111010000000001011110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000001001100011001010010010111110010000000010111100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100000010111000101100100111011000100100000000101111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000000101110001011001001110110001001000000001011110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000001011100010110010010101100010000000000010111100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100000010111000101100100111011000100000000000101111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000000101110001011001001110110001000000000001011110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000001011100010110010010101100010100000101100011100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100000010011000111100100111110000001000001011000111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000000100110001111001001111100000010000010110001110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000001001100011110010010111000000010000101100011100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100000010111000111100100110000010000100001011000111000110101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000000101110001111001001100000100001000010110001110001101011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000001011100011110010010000001000110000101100011100011010111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100000010100010011000000010000000001100001011000110000101101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000000101000100110000000100000000011000010110001100001011011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000001010001001100000000000000000000000101100011000010110111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100000010011000100010100110001000100000001011000110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000000100110001000101001100010001000000010110001100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000001001100010001010010000100010100000000100011000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100000010011111111111111110000111101000000001000110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000000100111111111111111100001111010000000010001100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000001001111111111111110000011110000000000100011000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100000010111000100010100110000101100000000001000110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000000101110001000101001100001011000000000010001100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000001011100010001010010000010110100000000010111000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100000010011000110010100110101111101000000000101110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000000100110001100101001101011111010000000001011100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000001001100011001010010010111110010000000010111000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100000010111000101100100111011000100100000000101110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000000101110001011001001110110001001000000001011100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000001011100010110010010101100010000000000010111000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100000010111000101100100111011000100000000000101110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000000101110001011001001110110001000000000001011100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000001011100010110010010101100010100000101100011000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000001010011000111100100111110000001000001011000110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000010100110001111001001111100000010000010110001100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000101001100011110010010111000000010000101100011000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000001010111000111100100110000010000100001011000110000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000010101110001111001001100000100001000010110001100001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000101011100011110010010000001000110000101100011000010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000001010100010011000000010000000001100001011000111000101101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000010101000100110000000100000000011000010110001110001011011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000101010001001100000000000000000000000101100011100010110111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000001010011000100010100110001000100000001011000111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000010100110001000101001100010001000000010110001110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000101001100010001010010000100010100000000100011100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000001010011111111111111110000111101000000001000111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000010100111111111111111100001111010000000010001110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000101001111111111111110000011110000000000100011100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000001010111000100010100110000101100000000001000111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000010101110001000101001100001011000000000010001110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000101011100010001010010000010110100000000010111100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000001010011000110010100110101111101000000000101111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000010100110001100101001101011111010000000001011110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000101001100011001010010010111110010000000010111100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000001010111000101100100111011000100100000000101111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000010101110001011001001110110001001000000001011110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000101011100010110010010101100010000000000010111100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000001010111000101100100111011000100000000000101111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000010101110001011001001110110001000000000001011110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000101011100010110010010101100010100000101100011100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000001010011000111100100111110000001000001011000111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000010100110001111001001111100000010000010110001110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000101001100011110010010111000000010000101100011100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000001010111000111100100110000010000100001011000111000101101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000010101110001111001001100000100001000010110001110001011011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000101011100011110010010000001000110000101100011100010110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000001010100010011000000010000000001100001011000110000111101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000010101000100110000000100000000011000010110001100001111011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000101010001001100000000000000000000000101100011000011110111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000001010011000100010100110001000100000001011000110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000010100110001000101001100010001000000010110001100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000101001100010001010010000100010100000000100011000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000001010011111111111111110000111101000000001000110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000010100111111111111111100001111010000000010001100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000101001111111111111110000011110000000000100011000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000001010111000100010100110000101100000000001000110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000010101110001000101001100001011000000000010001100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000101011100010001010010000010110100000000010111000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000001010011000110010100110101111101000000000101110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000010100110001100101001101011111010000000001011100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000101001100011001010010010111110010000000010111000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000001010111000101100100111011000100100000000101110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000010101110001011001001110110001001000000001011100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000101011100010110010010101100010000000000010111000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000001010111000101100100111011000100000000000101110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000010101110001011001001110110001000000000001011100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000101011100010110010010101100010100000101100011000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000001010011000111100100111110000001000001011000110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000010100110001111001001111100000010000010110001100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000101001100011110010010111000000010000101100011000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000001010111000111100100110000010000100001011000110000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000010101110001111001001100000100001000010110001100001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000101011100011110010010000001000110000101100011000011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000001010100010011000000010000000001100001011000111000111101111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000010101000100110000000100000000011000010110001110001111011111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000101010001001100000000000000000000000101100011100011110111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000001010011000100010100110001000100000001011000111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000010100110001000101001100010001000000010110001110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000101001100010001010010000100010100000000100011100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000001010011111111111111110000111101000000001000111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000010100111111111111111100001111010000000010001110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000101001111111111111110000011110000000000100011100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000001010111000100010100110000101100000000001000111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000010101110001000101001100001011000000000010001110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000101011100010001010010000010110100000000010111100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000001010011000110010100110101111101000000000101111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000010100110001100101001101011111010000000001011110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000101001100011001010010010111110010000000010111100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000001010111000101100100111011000100100000000101111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000010101110001011001001110110001001000000001011110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000101011100010110010010101100010000000000010111100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000001010111000101100100111011000100000000000101111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000010101110001011001001110110001000000000001011110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000101011100010110010010101100010100000101100011100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000001010011000111100100111110000001000001011000111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000010100110001111001001111100000010000010110001110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000101001100011110010010111000000010000101100011100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000001010111000111100100110000010000100001011000111000111101111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000010101110001111001001100000100001000010110001110001111011111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000101011100011110010010000001000110000101100011100011110111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000001010100010011000000010000000001100001011000110000100011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000010101000100110000000100000000011000010110001100001000111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000101010001001100000000000000000000000101100011000010001111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000001010011000100010100110001000100000001011000110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000010100110001000101001100010001000000010110001100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000101001100010001010010000100010100000000100011000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000001010011111111111111110000111101000000001000110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000010100111111111111111100001111010000000010001100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000101001111111111111110000011110000000000100011000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100001010111000100010100110000101100000000001000110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000010101110001000101001100001011000000000010001100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000101011100010001010010000010110100000000010111000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100001010011000110010100110101111101000000000101110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000010100110001100101001101011111010000000001011100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000101001100011001010010010111110010000000010111000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100001010111000101100100111011000100100000000101110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000010101110001011001001110110001001000000001011100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000101011100010110010010101100010000000000010111000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100001010111000101100100111011000100000000000101110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000010101110001011001001110110001000000000001011100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000101011100010110010010101100010100000101100011000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100001010011000111100100111110000001000001011000110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000010100110001111001001111100000010000010110001100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000101001100011110010010111000000010000101100011000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100001010111000111100100110000010000100001011000110000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000010101110001111001001100000100001000010110001100001000111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000101011100011110010010000001000110000101100011000010001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100001010100010011000000010000000001100001011000111000100011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000010101000100110000000100000000011000010110001110001000111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000101010001001100000000000000000000000101100011100010001111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100001010011000100010100110001000100000001011000111000100011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000010100110001000101001100010001000000010110001110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000000000000000000000000010000101001100010001010010000100010100000000100011100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000001000000000000000000000100001010011111111111111110000111101000000001000111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000010000000000000000000001000010100111111111111111100001111010000000010001110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000100000000000000000000010000101001111111111111110000011110000000000100011100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000001000000000000000000000100001010111000100010100110000101100000000001000111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000010000000000000000000001000010101110001000101001100001011000000000010001110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000100000000000000000000010000101011100010001010010000010110100000000010111100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000001000000000000000000000100001010011000110010100110101111101000000000101111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000010000000000000000000001000010100110001100101001101011111010000000001011110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000100000000000000000000010000101001100011001010010010111110010000000010111100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000001000000000000000000000100001010111000101100100111011000100100000000101111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000010000000000000000000001000010101110001011001001110110001001000000001011110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000100000000000000000000010000101011100010110010010101100010000000000010111100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000001000000000000000000000100001010111000101100100111011000100000000000101111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000010000000000000000000001000010101110001011001001110110001000000000001011110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000100000000000000000000010000101011100010110010010101100010100000101100011100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000001000000000000000000000100001010011000111100100111110000001000001011000111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000010000000000000000000001000010100110001111001001111100000010000010110001110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000100000000000000000000010000101001100011110010010111000000010000101100011100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000001000000000000000000000100001010111000111100100110000010000100001011000111000100011111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000010000000000000000000001000010101110001111001001100000100001000010110001110001000111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000100000000000000000000010000101011100011110010010000001000110000101100011100010001111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000001000000000000000000000100001010100010011000000010000000001100001011000110000110011111101000010000000000000000000000000000000000000000000000000000000000110111110111101000011000011111110100100000000001000000000010000000000000000000001000010101000100110000000100000000011000010110001100001100111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000101010001001100000000000000000000000101100011000011001111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100001010011000100010100110001000100000001011000110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000010100110001000101001100010001000000010110001100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000101001100010001010010000100010100000000100011000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100001010011111111111111110000111101000000001000110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000010100111111111111111100001111010000000010001100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000101001111111111111110000011110000000000100011000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100001010111000100010100110000101100000000001000110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000010101110001000101001100001011000000000010001100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000101011100010001010010000010110100000000010111000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100001010011000110010100110101111101000000000101110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000010100110001100101001101011111010000000001011100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000101001100011001010010010111110010000000010111000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100001010111000101100100111011000100100000000101110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000010101110001011001001110110001001000000001011100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000101011100010110010010101100010000000000010111000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100001010111000101100100111011000100000000000101110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000010101110001011001001110110001000000000001011100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000101011100010110010010101100010100000101100011000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100001010011000111100100111110000001000001011000110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000010100110001111001001111100000010000010110001100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000101001100011110010010111000000010000101100011000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100001010111000111100100110000010000100001011000110000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000010101110001111001001100000100001000010110001100001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000101011100011110010010000001000110000101100011000011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100001010100010011000000010000000001100001011000111000110011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000010101000100110000000100000000011000010110001110001100111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000101010001001100000000000000000000000101100011100011001111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100001010011000100010100110001000100000001011000111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000010100110001000101001100010001000000010110001110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000101001100010001010010000100010100000000100011100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100001010011111111111111110000111101000000001000111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000010100111111111111111100001111010000000010001110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000101001111111111111110000011110000000000100011100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100001010111000100010100110000101100000000001000111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000010101110001000101001100001011000000000010001110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000101011100010001010010000010110100000000010111100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100001010011000110010100110101111101000000000101111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000010100110001100101001101011111010000000001011110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000101001100011001010010010111110010000000010111100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100001010111000101100100111011000100100000000101111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000010101110001011001001110110001001000000001011110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000101011100010110010010101100010000000000010111100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100001010111000101100100111011000100000000000101111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000010101110001011001001110110001000000000001011110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000101011100010110010010101100010100000101100011100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100001010011000111100100111110000001000001011000111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000010100110001111001001111100000010000010110001110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000101001100011110010010111000000010000101100011100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000000110111000111100100110000010000100001011000111000110011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000001101110001111001001100000100001000010110001110001100111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000011011100011110010010000001000110000101100011100011001111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000000110100010011000000010000000001100001011000110000101011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000001101000100110000000100000000011000010110001100001010111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000011010001001100000000000000000000000101100011000010101111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000000110011000100010100110001000100000001011000110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000001100110001000101001100010001000000010110001100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000011001100010001010010000100010100000000100011000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000000110011111111111111110000111101000000001000110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000001100111111111111111100001111010000000010001100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000011001111111111111110000011110000000000100011000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000000110111000100010100110000101100000000001000110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000001101110001000101001100001011000000000010001100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000011011100010001010010000010110100000000010111000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000000110011000110010100110101111101000000000101110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000001100110001100101001101011111010000000001011100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000011001100011001010010010111110010000000010111000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000000110111000101100100111011000100100000000101110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000001101110001011001001110110001001000000001011100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000011011100010110010010101100010000000000010111000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000000110111000101100100111011000100000000000101110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000001101110001011001001110110001000000000001011100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000011011100010110010010101100010100000101100011000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000000110011000111100100111110000001000001011000110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000001100110001111001001111100000010000010110001100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000011001100011110010010111000000010000101100011000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000000110111000111100100110000010000100001011000110000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000001101110001111001001100000100001000010110001100001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000011011100011110010010000001000110000101100011000010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000000110100010011000000010000000001100001011000111000101011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000001101000100110000000100000000011000010110001110001010111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000011010001001100000000000000000000000101100011100010101111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000000110011000100010100110001000100000001011000111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000001100110001000101001100010001000000010110001110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000011001100010001010010000100010100000000100011100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000000110011111111111111110000111101000000001000111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000001100111111111111111100001111010000000010001110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000011001111111111111110000011110000000000100011100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000000110111000100010100110000101100000000001000111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000001101110001000101001100001011000000000010001110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000011011100010001010010000010110100000000010111100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000000110011000110010100110101111101000000000101111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000001100110001100101001101011111010000000001011110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000011001100011001010010010111110010000000010111100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000000110111000101100100111011000100100000000101111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000001101110001011001001110110001001000000001011110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000011011100010110010010101100010000000000010111100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000000110111000101100100111011000100000000000101111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000001101110001011001001110110001000000000001011110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000011011100010110010010101100010100000101100011100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000000110011000111100100111110000001000001011000111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000001100110001111001001111100000010000010110001110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000011001100011110010010111000000010000101100011100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000000110111000111100100110000010000100001011000111000101011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000001101110001111001001100000100001000010110001110001010111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000011011100011110010010000001000110000101100011100010101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000000110100010011000000010000000001100001011000110000111011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000001101000100110000000100000000011000010110001100001110111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000011010001001100000000000000000000000101100011000011101111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000000110011000100010100110001000100000001011000110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000001100110001000101001100010001000000010110001100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000011001100010001010010000100010100000000100011000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000000110011111111111111110000111101000000001000110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000001100111111111111111100001111010000000010001100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000011001111111111111110000011110000000000100011000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000000110111000100010100110000101100000000001000110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000001101110001000101001100001011000000000010001100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000011011100010001010010000010110100000000010111000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000000110011000110010100110101111101000000000101110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000001100110001100101001101011111010000000001011100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000011001100011001010010010111110010000000010111000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000000110111000101100100111011000100100000000101110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000001101110001011001001110110001001000000001011100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000011011100010110010010101100010000000000010111000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000000110111000101100100111011000100000000000101110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000001101110001011001001110110001000000000001011100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000011011100010110010010101100010100000101100011000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000000110011000111100100111110000001000001011000110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000001100110001111001001111100000010000010110001100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000011001100011110010010111000000010000101100011000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000000110111000111100100110000010000100001011000110000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000001101110001111001001100000100001000010110001100001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000011011100011110010010000001000110000101100011000011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000000110100010011000000010000000001100001011000111000111011111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000001101000100110000000100000000011000010110001110001110111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000011010001001100000000000000000000000101100011100011101111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000000110011000100010100110001000100000001011000111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000001100110001000101001100010001000000010110001110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000011001100010001010010000100010100000000100011100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000000110011111111111111110000111101000000001000111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000001100111111111111111100001111010000000010001110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000011001111111111111110000011110000000000100011100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000000110111000100010100110000101100000000001000111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000001101110001000101001100001011000000000010001110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000011011100010001010010000010110100000000010111100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100000110011000110010100110101111101000000000101111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000001100110001100101001101011111010000000001011110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000011001100011001010010010111110010000000010111100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100000110111000101100100111011000100100000000101111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000001101110001011001001110110001001000000001011110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000011011100010110010010101100010000000000010111100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100000110111000101100100111011000100000000000101111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000001101110001011001001110110001000000000001011110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000011011100010110010010101100010100000101100011100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100000110011000111100100111110000001000001011000111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000001100110001111001001111100000010000010110001110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000011001100011110010010111000000010000101100011100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100000110111000111100100110000010000100001011000111000111011111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000001101110001111001001100000100001000010110001110001110111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000011011100011110010010000001000110000101100011100011101111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100000110100010011000000010000000001100001011000110000100111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000001101000100110000000100000000011000010110001100001001111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000011010001001100000000000000000000000101100011000010011111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100000110011000100010100110001000100000001011000110000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000001100110001000101001100010001000000010110001100001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000011001100010001010010000100010100000000100011000010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100000110011111111111111110000111101000000001000110000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000001100111111111111111100001111010000000010001100001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000011001111111111111110000011110000000000100011000010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100000110111000100010100110000101100000000001000110000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000001101110001000101001100001011000000000010001100001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000011011100010001010010000010110100000000010111000010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100000110011000110010100110101111101000000000101110000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000001100110001100101001101011111010000000001011100001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000011001100011001010010010111110010000000010111000010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100000110111000101100100111011000100100000000101110000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000001101110001011001001110110001001000000001011100001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000100000000000000000000010000011011100010110010010101100010000000000010111000010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000001000000000000000000000100000110111000101100100111011000100000000000101110000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000010000000000000000000001000001101110001011001001110110001000000000001011100001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000100000000000000000000010000011011100010110010010101100010100000101100011000010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000001000000000000000000000100000110011000111100100111110000001000001011000110000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000010000000000000000000001000001100110001111001001111100000010000010110001100001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000100000000000000000000010000011001100011110010010111000000010000101100011000010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000001000000000000000000000100000110111000111100100110000010000100001011000110000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000010000000000000000000001000001101110001111001001100000100001000010110001100001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000100000000000000000000010000011011100011110010010000001000110000101100011000010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000001000000000000000000000100000110100010011000000010000000001100001011000111000100111111101000010000000000000000000000000000000000000000000000000000000000110111110111101000011000001111110100100000000001000000000010000000000000000000001000001101000100110000000100000000011000010110001110001001111111010000100000000000000000000000000000000000000000000000000000000001101111101111010000110000011111101001000000000010000000000100000000000000000000010000011010001001100000000000000000000000101100011100010011111110100001000000000000000000000000000000000000000000000000000000000011011111011110100001100001111111010010000000000100000000001000000000000000000000100000110011000100010100110001000100000001011000111000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000010000000000000000000001000001100110001000101001100010001000000010110001110001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000100000000000000000000010000011001100010001010010000100010100000000100011100010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000000000000000010000000000100000110011111111111111110000111101000000001000111000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000000000000000100000000001000001100111111111111111100001111010000000010001110001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000000000000001000000000010000011001111111111111110000011110000000000100011100010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000000000000000010000000000100000110111000100010100110000101100000000001000111000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000000000000000100000000001000001101110001000101001100001011000000000010001110001001111111110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000000000000001000000000010000011011100010001010010000010110100000000010111100010011111111100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000000000000000010000000000100000110011000110010100110101111101000000000101111000100111111111000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000000000000000100000000001000001100110001100101001101011111010000000001011110001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000011001100011001010010010111110010000000010111100010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100000110111000101100100111011000100100000000101111000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000001101110001011001001110110001001000000001011110001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000011011100010110010010101100010000000000010111100010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100000110111000101100100111011000100000000000101111000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000001101110001011001001110110001000000000001011110001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000011011100010110010010101100010100000101100011100010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100000110011000111100100111110000001000001011000111000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000001100110001111001001111100000010000010110001110001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000011001100011110010010111000000010000101100011100010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100000110111000111100100110000010000100001011000111000100111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000001101110001111001001100000100001000010110001110001001111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000011011100011110010010000001000110000101100011100010011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100000110100010011000000010000000001100001011000110000110111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000001101000100110000000100000000011000010110001100001101111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000011010001001100000000000000000000000101100011000011011111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100000110011000100010100110001000100000001011000110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000001100110001000101001100010001000000010110001100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000011001100010001010010000100010100000000100011000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100000110011111111111111110000111101000000001000110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000001100111111111111111100001111010000000010001100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000011001111111111111110000011110000000000100011000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100000110111000100010100110000101100000000001000110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000001101110001000101001100001011000000000010001100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000011011100010001010010000010110100000000010111000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100000110011000110010100110101111101000000000101110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000001100110001100101001101011111010000000001011100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000011001100011001010010010111110010000000010111000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100000110111000101100100111011000100100000000101110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000001101110001011001001110110001001000000001011100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000011011100010110010010101100010000000000010111000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100000110111000101100100111011000100000000000101110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000001101110001011001001110110001000000000001011100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000011011100010110010010101100010100000101100011000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100000110011000111100100111110000001000001011000110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000001100110001111001001111100000010000010110001100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000011001100011110010010111000000010000101100011000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100000110111000111100100110000010000100001011000110000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000001101110001111001001100000100001000010110001100001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000011011100011110010010000001000110000101100011000011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000001110100010011000000010000000001100001011000111000110111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000011101000100110000000100000000011000010110001110001101111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000111010001001100000000000000000000000101100011100011011111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000001110011000100010100110001000100000001011000111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000011100110001000101001100010001000000010110001110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000111001100010001010010000100010100000000100011100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000001110011111111111111110000111101000000001000111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000011100111111111111111100001111010000000010001110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000111001111111111111110000011110000000000100011100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000001110111000100010100110000101100000000001000111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000011101110001000101001100001011000000000010001110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000111011100010001010010000010110100000000010111100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000001110011000110010100110101111101000000000101111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000011100110001100101001101011111010000000001011110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000111001100011001010010010111110010000000010111100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000001110111000101100100111011000100100000000101111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000011101110001011001001110110001001000000001011110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000111011100010110010010101100010000000000010111100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000001110111000101100100111011000100000000000101111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000011101110001011001001110110001000000000001011110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000111011100010110010010101100010100000101100011100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000001110011000111100100111110000001000001011000111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000011100110001111001001111100000010000010110001110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000111001100011110010010111000000010000101100011100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000001110111000111100100110000010000100001011000111000110111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000011101110001111001001100000100001000010110001110001101111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000111011100011110010010000001000110000101100011100011011111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000001110100010011000000010000000001100001011000110000101111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000011101000100110000000100000000011000010110001100001011111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000111010001001100000000000000000000000101100011000010111111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000001110011000100010100110001000100000001011000110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000011100110001000101001100010001000000010110001100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000111001100010001010010000100010100000000100011000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000001110011111111111111110000111101000000001000110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000011100111111111111111100001111010000000010001100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000111001111111111111110000011110000000000100011000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000001110111000100010100110000101100000000001000110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000011101110001000101001100001011000000000010001100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000111011100010001010010000010110100000000010111000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000001110011000110010100110101111101000000000101110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000011100110001100101001101011111010000000001011100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000111001100011001010010010111110010000000010111000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000001110111000101100100111011000100100000000101110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000011101110001011001001110110001001000000001011100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000111011100010110010010101100010000000000010111000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000001110111000101100100111011000100000000000101110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000011101110001011001001110110001000000000001011100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000111011100010110010010101100010100000101100011000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000001110011000111100100111110000001000001011000110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000011100110001111001001111100000010000010110001100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000111001100011110010010111000000010000101100011000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000001110111000111100100110000010000100001011000110000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000011101110001111001001100000100001000010110001100001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000111011100011110010010000001000110000101100011000010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000001110100010011000000010000000001100001011000111000101111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000011101000100110000000100000000011000010110001110001011111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000111010001001100000000000000000000000101100011100010111111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000001110011000100010100110001000100000001011000111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000011100110001000101001100010001000000010110001110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000111001100010001010010000100010100000000100011100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000001110011111111111111110000111101000000001000111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000011100111111111111111100001111010000000010001110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000111001111111111111110000011110000000000100011100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000001110111000100010100110000101100000000001000111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000011101110001000101001100001011000000000010001110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000111011100010001010010000010110100000000010111100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000001110011000110010100110101111101000000000101111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000011100110001100101001101011111010000000001011110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000111001100011001010010010111110010000000010111100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000001110111000101100100111011000100100000000101111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000011101110001011001001110110001001000000001011110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000111011100010110010010101100010000000000010111100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000001110111000101100100111011000100000000000101111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000011101110001011001001110110001000000000001011110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000111011100010110010010101100010100000101100011100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000001110011000111100100111110000001000001011000111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000011100110001111001001111100000010000010110001110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000111001100011110010010111000000010000101100011100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000001110111000111100100110000010000100001011000111000101111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000011101110001111001001100000100001000010110001110001011111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000111011100011110010010000001000110000101100011100010111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000001110100010011000000010000000001100001011000110000111111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000011101000100110000000100000000011000010110001100001111111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000111010001001100000000000000000000000101100011000011111111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000001110011000100010100110001000100000001011000110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000011100110001000101001100010001000000010110001100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000111001100010001010010000100010100000000100011000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000001110011111111111111110000111101000000001000110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000011100111111111111111100001111010000000010001100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000111001111111111111110000011110000000000100011000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000001110111000100010100110000101100000000001000110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000011101110001000101001100001011000000000010001100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000111011100010001010010000010110100000000010111000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000001110011000110010100110101111101000000000101110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000011100110001100101001101011111010000000001011100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000111001100011001010010010111110010000000010111000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100001110111000101100100111011000100100000000101110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000011101110001011001001110110001001000000001011100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000111011100010110010010101100010000000000010111000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100001110111000101100100111011000100000000000101110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000011101110001011001001110110001000000000001011100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000111011100010110010010101100010100000101100011000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100001110011000111100100111110000001000001011000110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000011100110001111001001111100000010000010110001100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000111001100011110010010111000000010000101100011000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100001110111000111100100110000010000100001011000110000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000011101110001111001001100000100001000010110001100001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000111011100011110010010000001000110000101100011000011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100001110100010011000000010000000001100001011000111000111111111101000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000011101000100110000000100000000011000010110001110001111111111010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000111010001001100000000000000000000000101100011100011111111110100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100001110011000100010100110001000100000001011000111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000011100110001000101001100010001000000010110001110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000111001100010001010010000100010100000000100011100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100001110011111111111111110000111101000000001000111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000011100111111111111111100001111010000000010001110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000111001111111111111110000011110000000000100011100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100001110111000100010100110000101100000000001000111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000011101110001000101001100001011000000000010001110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000111011100010001010010000010110100000000010111100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100001110011000110010100110101111101000000000101111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000011100110001100101001101011111010000000001011110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000111001100011001010010010111110010000000010111100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100001110111000101100100111011000100100000000101111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000011101110001011001001110110001001000000001011110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000111011100010110010010101100010000000000010111100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100001110111000101100100111011000100000000000101111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000011101110001011001001110110001000000000001011110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000111011100010110010010101100010100000101100011100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100001110011000111100100111110000001000001011000111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000011100110001111001001111100000010000010110001110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000111001100011110010010111000000010000101100011100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100001110111000111100100110000010000100001011000111000111111111111000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000011101110001111001001100000100001000010110001110001111111111110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000111011100011110010010000001000110000101100011100011111111111100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100001110100010011000000010000000001100001011000110100100000000001000010000000000000000000000000000000000000000000000000000000000110111110111101000011000011111110100000000000001000000000010000000000000000000001000011101000100110000000100000000011000010110001101001000000000010000100000000000000000000000000000000000000000000000000000000001101111101111010000110000111111101000000000000010000000000100000000000000000000010000111010001001100000000000000000000000101100011010010000000000100001000000000000000000000000000000000000000000000000000000000011011111011110100001100000111111010010000000000100000000001000000000000000000000100001110011000100010100110001000100000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000010000000000000000000001000011100110001000101001100010001000000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000100000000000000000000010000111001100010001010010000100010100000000100011010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000001000000000000000000000100001110011111111111111110000111101000000001000110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000010000000000000000000001000011100111111111111111100001111010000000010001101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000100000000000000000000010000111001111111111111110000011110000000000100011010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000000000000000010000000000100001110111000100010100110000101100000000001000110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000000000000000100000000001000011101110001000101001100001011000000000010001101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000000000000001000000000010000111011100010001010010000010110100000000010111010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000000000000000010000000000100001110011000110010100110101111101000000000101110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000000000000000100000000001000011100110001100101001101011111010000000001011101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000000000000001000000000010000111001100011001010010010111110000000000010111010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000000000000000010000000000100001110111000110010100111011000100000000000101110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000000000000000100000000001000011101110001100101001110110001000000000001011101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000000000000001000000000010000111011100011001010010101100010100000101100011010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000000000000000010000000000100001110011000101010100111111110001000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000000000000000100000000001000011100110001010101001111111100010000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000000000000001000000000010000111001100010101010010111111000010000101100011010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000000000000000010000000000100001110111000101010100111110000000100001011000110100100000000011000010000000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000000000000000100000000001000011101110001010101001111100000001000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000000000000001000000000010000111011100010101010010111000000110000101100011010010000000001100001000000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000000000000000010000000000100001110110000011111001110000000001100001011000110100100000000011000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000011101100000111110011100000000011000010110001101001000000000110000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000111011000001111100110000000000000000101100011010010000000001100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100001110011000111010100111011000100000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000011100110001110101001110110001000000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000111001100011101010010101100010100000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100001110111000111010100110000001001000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000011101110001110101001100000010010000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000111011100011101010010000000100010000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100001110011000100110100111110000000100001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000011100110001001101001111100000001000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000111001100010011010010111000000110000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100001110010000000000101110000000001100001011000110100100000000011000010000000000000000000000000000000000000000000000000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000011100100000000001011100000000011000010110001101001000000000110000100000000000000000000000000000000000000000000000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000111001000000000010110000000000000000101100011010010000000001100001000000000000000000000000000000000000000000000000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100001110111000100110100110011001000000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000011101110001001101001100110010000000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000111011100010011010010001100100100000001100101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100001110011000110110100110110011101000000011001010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000011100110001101101001101100111010000000110010101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000111001100011011010010011001110010000001100101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100001110111000110110100110111000100100000011001010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000011101110001101101001101110001001000000110010101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000111011100011011010010011100010000000001100101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100001110011000111001110111011000100000000011001010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000011100110001110011101110110001000000000110010101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000111001100011100111010101100010100000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100001110111000111001110111010000001000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000011101110001110011101110100000010000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000111011100011100111010101000000010000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100001110011000100101110110000010000100001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000011100110001001011101100000100001000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000111001100010010111010000001000110000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000000001100010001000000010000000001100001011000110100100000000001000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000000011000100010000000100000000011000010110001101001000000000010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000000110001000100000000000000000000000101100011010010000000000100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000000001111000100101110111011000100000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000000011110001001011101110110001000000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000000111100010010111010101100010100000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000000001011000110101110111010000001000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000000010110001101011101110100000010000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000000101100011010111010101000000010000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000000001111000110101110110000010000100001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000000011110001101011101100000100001000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000000111100011010111010000001000110000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000000001100010001000000010000000001100001011000110100100000000001000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000000011000100010000000100000000011000010110001101001000000000010000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000000110001000100000000000000000000000101100011010010000000000100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000000001011000101101110110000011000000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000000010110001011011101100000110000000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000000101100010110111010000001100100000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000000001000000001011111010111000101000000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000000010000000010111110101110001010000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000000100000000101111100011100010010000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000000001100000001011111010000100100100000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000000011000000010111110100001001001000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000000110000000101111100000010010110000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000000001000000011011111011010000101100000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000000010000000110111110110100001011000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000000100000001101111100101000010001000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000000001011111111111111110000111100010000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000000010111111111111111100001111000100000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000000101111111111111110000011110101000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000000001010000100010011010111000101010000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000000010100001000100110101110001010100000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000000101000010001001100011100010000000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000000001110000100010011011011010100000000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000000011100001000100110110110101000000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000000111000010001001100101101010100000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000000001010000110010011010000111001000001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000000010100001100100110100001110010000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000000101000011001001100000011100010000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000000001110000110010011011110000000100001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000000011100001100100110111100000001000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000000111000011001001100111000000110000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000000001010000000011101110000000001100001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000000010100000000111011100000000011000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000000101000000001110110000000000000000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000000001010000101010011010000111100000001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000000010100001010100110100001111000000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000000101000010101001100000011110100000000011111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000000001110000101010011010100110001000000000111110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000000011100001010100110101001100010000000001111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000000111000010101001100010011000010000000011111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000000001010000100100111010011001000100000000111110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000000010100001001001110100110010001000000001111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000000101000010010011100001100100000000000011111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000000001010000100100111010011001000000000000111110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000000010100001001001110100110010000000000001111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000000101000010010011100001100100100000001100101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000000001110000100100111011010001001000000011001010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000000011100001001001110110100010010000000110010101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000000111000010010011100101000100010000001100101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000000001010000110100111011110000100100000011001010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000000010100001101001110111100001001000000110010101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000000101000011010011100111000010000000001100101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000000001110000101000101110111011100000000011001010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000000011100001010001011101110111000000000110010101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000000111000010100010110011101110100000011101111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000000001010000111000101110011110001000000111011110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000000010100001110001011100111100010000001110111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000000101000011100010110001111000010000011101111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000000001110000111000101111110000000100000111011110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000000011100001110001011111100000001000001110111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000000111000011100010110111000000110000011101111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000000001010000001111001110000000001100000111011110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000000010100000011110011100000000011000001110111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000000101000000111100110000000000001000011101111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000000001010000001111001110000000000010000111011110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000000010100000011110011100000000000100001110111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000000101000000111100110000000000101000011101111010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000000001010000001111001111000000001010000111011110100100000000011000010000000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000000010100000011110011110000000010100001110111101001000000000110000100000000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000000101000000111100110100000000000000011101111010010000000001100001000000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000000001010000100100101110000011000000000111011110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000000010100001001001011100000110000000001110111101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000000101000010010010110000001100100000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000000001000000011011111011010000101000000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000000010000000110111110110100001010000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000000100000001101111100101000010010000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000000001100000011011111011110111000100000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000000011000000110111110111101110001000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000000110000001101111100111011100110000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000000001000000000111111011000000101100000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000000010000000001111110110000001011000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000000100000000011111100100000010001000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000000001011111111111111110000111100010000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000000010111111111111111100001111000100000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000000101111111111111110000011110101000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100000001100000111011101010100000101010000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000000011000001110111010101000001010100000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000000110000011101110100010000010000000000001101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100000001000000100111101011011010100000000000011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000000010000001001111010110110101000000000000110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000000100000010011110100101101010100000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100000001100000100111101010100000001000001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000000011000001001111010101000000010000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000000110000010011110100010000000010000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100000001000000110111101010000010000100001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000000010000001101111010100000100001000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000000100000011011110100000001000110000101101011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100000001000010010000000010000000001100001011010110100100000000001000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000000010000100100000000100000000011000010110101101001000000000010000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000000100001001000000000000000000000000101101011010010000000000100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100000001100000110111101010001011000000001011010110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000000011000001101111010100010110000000010110101101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000000110000011011110100000101100100000000101101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100000001011111111111111110000111101000000001011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000000010111111111111111100001111010000000010110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000000101111111111111110000011110010000000101101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100000001000000000111111011000000100100000001011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000000010000000001111110110000001001000000010110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000000100000000011111100100000010110000000101101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100000001100000000111111010000100001100000001011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000000011000000001111110100001000011000000010110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000000110000000011111100000010000000000000101101010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100000001000000101111101011001000000000000001011010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000000010000001011111010110010000000000000010110101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000000100000010111110100100100000100000100100001010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100000001100000101111101010000000101000001001000010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000000011000001011111010100000001010000010010000101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000000110000010111110100000000010000000100100001010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100000001000000111111101011011000100000001001000010100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000000010000001111111010110110001000000010010000101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000000100000011111110100101100010100000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100000001100000111111101010000000001000001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000000011000001111111010100000000010000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000000110000011111110100000000000010000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100000001000000100000011010000010000100001011000110100100000000011000010000000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000000010000001000000110100000100001000010110001101001000000000110000100000000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000000100000010000001100000001000110000101100011010010000000001100001000000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100000001000010000000000010000100101100001011000110100100000000001000010010000000000000000000000000000000000000000000000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000000010000100000000000100001001011000010110001101001000000000010000100100000000000000000000000000000000000000000000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000000100001000000000000000010010000000101100011010010000000000100001001000000000000000000000000000000000000000000000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100000001100000100000011010000001000000001011000110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000000011000001000000110100000010000000010110001101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000000110000010000001100000000100100000000000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100000001100000000111111010000100001000000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000000000000000100000000001000000011000000001111110100001000010000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000000000000001000000000010000000110000000011111100000010000010000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000000000000000010000000000100000001000000010111111010010010100100000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000000000000000100000000001000000010000000101111110100100101001000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000000000000001000000000010000000100000001011111100001001010110000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000000000000000010000000000100000001100000010111111011000000101100000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000000000000000100000000001000000011000000101111110110000001011000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000000000000001000000000010000000110000001011111100100000010001000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000000000000000010000000000100000001000000001111111011000000100010000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000000000000000100000000001000000010000000011111110110000001000100000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000000000000001000000000010000000100000000111111100100000010101000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000000000000000010000000000100000001011111111111111110000111101010000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000000000000000100000000001000000010111111111111111100001111010100000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000000000000001000000000010000000101111111111111110000011110000000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000000000000000010000000000100000001100000100000011010000001000000000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000000000000000100000000001000000011000001000000110100000010000000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000000000000001000000000010000000110000010000001100000000100100000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000000000000000010000000000100000001000000001111111011000000101000000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000000000000000100000000001000000010000000011111110110000001010000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000000000000001000000000010000000100000000111111100100000010010000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000000000000000010000000000100000001100000001111111011010010100100000000001010100100000000011000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000000000000000100000000001000000011000000011111110110100101001000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000000000000001000000000010000000110000000111111100101001010110000000000101010010000000001100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000001000000000010000000000100000001000000011111111010101101001100000000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000000010000000111111110101011010011000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000000100000001111111100010110100001000000000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100000001100000011111111010000000100010000000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000000011000000111111110100000001000100000000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000000110000001111111100000000010101000000000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100000001011111111111111110000111101010000000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000000010111111111111111100001111010100000000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000000101111111111111110000011110000000000000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100000001000000110110100011000000000000000000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000000010000001101101000110000000000000000000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000000100000011011010000100000000100000100000001010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100000001100000110110100010010010101000001000000010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000000011000001101101000100100101010000010000000101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000000110000011011010000001001010010000100000001010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100000001000000001001010010000000000100001000000010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000000010000000010010100100000000001000010000000101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000000100000000100101000000000000110000100000001010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100000001000000001001010010000000001100001000000010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000000010000000010010100100000000011000010000000101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000000110000000100101000000000000001000100000001010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100000001100000001001010010000000000010001000000010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000000011000000010010100100000000000100010000000101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000000100000000000000000010000000101000100000001010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000001001000000000000000010100000001010001000000010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000010010000000000000000101000000010100010000000101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000100100000000000000000010000000000000100000001010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000001001000000101110100010001001100000001000000010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000010010000001011101000100010011000000010000000101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000100100000010111010000000100110100000000100111010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000001001011111111111111110000111101000000001001110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000010010111111111111111100001111010000000010011101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000100101111111111111110000011110000000000100111010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000001001100000101110100010011011100000000001001110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000010011000001011101000100110111000000000010011101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000100110000010111010000001101110100000001101111010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000001001000000111110100010000100001000000011011110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000010010000001111101000100001000010000000110111101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000100100000011111010000000010000010000001101111010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000001001100000111110100010000000000100000011011110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000010011000001111101000100000000001000000110111101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000100110000011111010000000000000110000001101111010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000001001000000000010000010000000001100000011011110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000010010000000000100000100000000011000000110111101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000100100000000001000000000000000000000001101111010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000001001000000100001100011000001000000000011011110100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000010010000001000011000110000010000000000110111101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000100100000010000110000100000100100000100000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000001001100000100001100011000001001000001000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000010011000001000011000110000010010000010000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000100110000010000110000100000100010000100000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000001001100000000000100010000000000100001000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000010011000000000001000100000000001000010000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000100100000001000010000000000000110000100000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000001001100000000000100010000000001100001000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000010011000000000001000100000000011000010000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000100100000001000010000000000000001000100000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000001001000000010000100010000000000010001000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000010010000000100001000100000000000100010000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000100100000000000000000010000000101000100000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000001001000000000000000010100000001010001000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000010010000000000000000101000000010100010000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000100100000000000000000010000000000000100000101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000001001000000110001100010011001000000001000001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000010010000001100011000100110010000000010000010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000100100000011000110000001100100100000001100101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000001001100000110001100010010110001000000011001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000010011000001100011000100101100010000000110010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000100110000011000110000001011000010000001100101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000001001000000101001100010011110000100000011001010100100000000011000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000010010000001010011000100111100001000000110010101001000000000110000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000100100000010100110000001111000000000001100101010010000000001100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000001001011110001011000010000000000000000011001010100100000000001000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000010010111100010110000100000000000000000110010101001000000000010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000100101111000101100000000000000100000000000001010010000000000100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000010100100000000001000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000101001000000000010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001011110000000110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000010111100000001101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000101111000000011010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001011110000000110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100000000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101000000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010010000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100100000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101001000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010010000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011111110100100000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000111111101001000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000111111010000000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001111110100000000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000011111101000000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001111111010000000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010000000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100000000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101000000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010000000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100000000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101000000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000111111010010000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001111110100100000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000011111101001000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001111111010010000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011111110100100000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000111111101001000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000000000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000000000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000000000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000000001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000000000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000000000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000100000000001000000000000000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000001000000000010000000000000000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000010000000000100000000000000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011001000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110010000000000000000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100100000000000000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011001000000000000000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110000000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100000000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000000000011000000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001000000110000000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000010000001100000000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000100000011000000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000000000110010000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000000000001100100000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000000000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000000000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000000000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000000000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000000000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000000000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110000000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100000000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011000000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110000000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100000000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011000000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000000000110010000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000000000001100100000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000000000011001000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001000000110010000000000100000000001000000000010000000000100001111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000010000001100100000000001000000000010000000000100000000001000011110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000100000011001000000000010000000000100000000001000000000010000111101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100000000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000000000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000000001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100001000011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000010000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000100001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100000100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000001000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000010001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000001000000000010000000000100001100011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000010000000000100000000001000011000111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000100000000001000000000010000110001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000001000000000010000000000100000010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000010000000000100000000001000000100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000100000000001000000000010000001001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100001010011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000010100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000100000000001000000000010000101001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100000110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000001100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000011001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100001110011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000011100111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000111001111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100000001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000000010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000000101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000001000000000000000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000010000000000000000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000100000000000000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100001001011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000010010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000100101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000001000000000000000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000010000000000000000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000100000000000000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100000101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000001010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000010101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000001000000000000000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000010000000000000000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000100000000000000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100001101011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000011010111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000110101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100000011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000000110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000001101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000100001011011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000001000010110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000010000101101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000000000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000000000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000000000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000000000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000000000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000000000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000100000000000000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000001000000000000000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000010000000000000000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100100000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011001000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110010000000000000000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100100000000000000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011001000000000000000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110000000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000001000001100000000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000010000011000000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100001100000110000000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000000110111110111101000011000011000001100000000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000001101111101111010000110000110000011000000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000000011011111011110100001100000100000110010000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000100000000001000000000010000000000000000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000001000000000010000000000100000000000000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000010000000000100000000001000000000000000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100100000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011001000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110010000000000000000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100100000000000000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011001000000000000000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110000000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000001000001100000000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000010000011000000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100001100000110000000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011000011000001100000000000001000000000000000000000000000000001000001110111100111111100111111111010000000000000111111111111111010000100100000000000000000000000000000000000000001000000000000101101111101111010000110000110000011000000000000010000000000000000000000000000000010000011101111001111111000111111110100000000000001111111111111110100001001000000000000000000000000000000000000000010000000000001011011111011110100001100000100000110010000000000100000000000000000000000000000000100000111011110011111110011111111101000000000000011111111111111101000010010000000000000000000000000000000000000000100000000000010110111110111101000011</data>\r
           <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
         </log>\r
       </trigger>\r
     </signal_set>\r
     <position_info>\r
       <single attribute="active tab" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="2088"/>\r
+      <single attribute="data horizontal scroll position" value="1007"/>\r
       <single attribute="data vertical scroll position" value="0"/>\r
       <single attribute="setup horizontal scroll position" value="0"/>\r
       <single attribute="setup vertical scroll position" value="0"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
-      <single attribute="zoom level numerator" value="64"/>\r
+      <single attribute="zoom level numerator" value="128"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
-      <single attribute="zoom offset numerator" value="1920"/>\r
+      <single attribute="zoom offset numerator" value="960"/>\r
       <multi attribute="timebars" size="3" value="1024,1155,996"/>\r
     </position_info>\r
   </instance>\r
     <single attribute="jtag widget visible" value="1"/>\r
     <single attribute="lock mode" value="0"/>\r
     <single attribute="sof manager visible" value="1"/>\r
-    <multi attribute="frame size" size="2" value="1467,716"/>\r
+    <multi attribute="frame size" size="2" value="1920,1017"/>\r
     <multi attribute="jtag widget size" size="2" value="334,189"/>\r
   </global_info>\r
 </session>\r
index 5d8852c..82b8434 100644 (file)
@@ -54,7 +54,7 @@ architecture rtl of de0_cv_nes is
                 );
         port (  
     signal dbg_instruction  : out std_logic_vector(7 downto 0);
-    signal dbg_int_d_bus  : out std_logic_vector(7 downto 0);
+    signal dbg_int_d_bus    : out std_logic_vector(7 downto 0);\r
     signal dbg_exec_cycle      : out std_logic_vector (5 downto 0);
     signal dbg_ea_carry     : out std_logic;
 --    signal dbg_index_bus    : out std_logic_vector(7 downto 0);
@@ -63,10 +63,9 @@ architecture rtl of de0_cv_nes is
     signal dbg_pcl, dbg_pch, dbg_sp, dbg_x, dbg_y, dbg_acc       : out std_logic_vector(7 downto 0);
     signal dbg_dec_oe_n    : out std_logic;
     signal dbg_dec_val     : out std_logic_vector (7 downto 0);
-    signal dbg_int_dbus    : out std_logic_vector (7 downto 0);
 --    signal dbg_status_val    : out std_logic_vector (7 downto 0);
     signal dbg_stat_we_n    : out std_logic;
-    signal dbg_idl_h, dbg_idl_l, dbg_dbb_r, dbg_dbb_w    : out std_logic_vector (7 downto 0);
+    signal dbg_idl_h, dbg_idl_l     : out std_logic_vector (7 downto 0);
     
                 input_clk   : in std_logic; --phi0 input pin.
                 rdy         : in std_logic;
@@ -270,11 +269,10 @@ architecture rtl of de0_cv_nes is
     signal dbg_disp_nt, dbg_disp_attr       : std_logic_vector (7 downto 0);\r
     signal dbg_vram_ad                      : std_logic_vector (7 downto 0);\r
     signal dbg_vram_a                       : std_logic_vector (13 downto 8);\r
-\r
     signal dbg_disp_ptn_h, dbg_disp_ptn_l : std_logic_vector (15 downto 0);
     signal dbg_pcl, dbg_pch : std_logic_vector(7 downto 0);
     signal dbg_stat_we_n    : std_logic;
-    signal dbg_idl_h, dbg_idl_l, dbg_dbb_r, dbg_dbb_w    : std_logic_vector (7 downto 0);
+    signal dbg_idl_h, dbg_idl_l     : std_logic_vector (7 downto 0);
 
     signal dbg_vga_clk                      : std_logic;
     signal dbg_ppu_addr_we_n                : std_logic;
@@ -300,10 +298,8 @@ architecture rtl of de0_cv_nes is
     signal dbg_ppu_scrl_y_dummy             : std_logic_vector (7 downto 0);
     signal dbg_disp_ptn_h_dummy, dbg_disp_ptn_l_dummy   : std_logic_vector (15 downto 0);
 
-    signal dbg_dec_val            : std_logic_vector (7 downto 0);\r
-    signal dbg_int_dbus           : std_logic_vector (7 downto 0);\r
     signal dbg_instruction_dummy  : std_logic_vector(7 downto 0);
-    signal dbg_int_d_bus_dummy    : std_logic_vector(7 downto 0);
+    signal dbg_dec_val            : std_logic_vector(7 downto 0);\r
     signal dbg_exec_cycle_dummy   : std_logic_vector (5 downto 0);
     signal dbg_ea_carry_dummy     : std_logic;
     signal dbg_status_dummy       : std_logic_vector(7 downto 0);
@@ -341,7 +337,7 @@ begin
     cpu_inst : mos6502 generic map (data_size, addr_size) 
         port map (
     dbg_instruction,
-    dbg_int_d_bus,
+    dbg_int_d_bus,\r
     dbg_exec_cycle,
     dbg_ea_carry,
  --   dbg_index_bus,
@@ -350,10 +346,9 @@ begin
     dbg_pcl, dbg_pch, dbg_sp_dummy, dbg_x_dummy, dbg_y, dbg_acc,
     dbg_dec_oe_n,
     dbg_dec_val,
-    dbg_int_dbus,
 --    dbg_status_val    ,
     dbg_stat_we_n    ,
-    dbg_idl_h, dbg_idl_l, dbg_dbb_r, dbg_dbb_w,
+    dbg_idl_h, dbg_idl_l,
 
                 cpu_clk, rdy,
                 rst_n, irq_n, nmi_n, dbe, r_nw, 
@@ -367,46 +362,6 @@ begin
     prg_ram_inst : ram generic map (ram_2k, data_size)
             port map (mem_clk, ram_ce_n, ram_oe_n, R_nW, addr(ram_2k - 1 downto 0), d_io);
 \r
-    dbg_base_clk <= ppu_clk;
---    dbg_exec_cycle(2 downto 1) <= dbg_vga_x(9 downto 8);
---    dbg_int_d_bus <= dbg_vga_x(7 downto 0);
---    dbg_exec_cycle(0) <= dbg_nes_x(8);
---    dbg_instruction <= dbg_nes_x(7 downto 0);
---    dbg_exec_cycle(3) <= dbg_emu_ppu_clk;
---
---    dbg_exec_cycle(4) <= dbg_nes_y(8);
---    dbg_status <= dbg_nes_y(7 downto 0);
-
-
---    dbg_ppu_scrl_x(0) <= ale;
---    dbg_ppu_scrl_x(1) <= rd_n;
---    dbg_ppu_scrl_x(2) <= wr_n;
---    dbg_ppu_scrl_x(3) <= nt0_ce_n;
---    dbg_ppu_scrl_x(4) <= vga_clk;
---    dbg_ppu_scrl_x(5) <= rom_ce_n;
---    dbg_ppu_scrl_x(6) <= ram_ce_n;
---    dbg_ppu_scrl_x(7) <= addr(15);
---    dbg_ppu_scrl_y(2 downto 0) <= dbg_p_oam_ce_rn_wn(2 downto 0);
---    dbg_ppu_scrl_y(5 downto 3) <= dbg_plt_ce_rn_wn(2 downto 0);
-    dbg_disp_ptn_l (7 downto 0) <= dbg_p_oam_addr;
-    dbg_disp_ptn_l (15 downto 8) <= dbg_p_oam_data;
-
-    dbg_cpu_clk <= cpu_clk;
-    dbg_mem_clk <= mem_clk;
-    dbg_r_nw <= r_nw;
-    dbg_addr <= addr;
-    dbg_d_io <= d_io;
-    dbg_vram_ad  <= vram_ad ;\r
-    dbg_vram_a  <= vram_a ;\r
-\r
-    dbg_sp(7 downto 6) <= dbg_ppu_clk_cnt;\r
-    dbg_sp(5 downto 0) <= v_addr (13 downto 8);\r
-    dbg_x <= v_addr (7 downto 0);\r
-\r
-    dbg_nmi <= nmi_n;
---    nmi_n <= dummy_nmi;
---    dbg_ppu_ctrl <= dbg_pcl;
---    dbg_ppu_mask <= dbg_pch;
     --nes ppu instance
     ppu_inst: ppu port map (  
         dbg_ppu_ce_n                                        ,
@@ -487,6 +442,12 @@ begin
     apu_inst : apu
         port map (cpu_clk, apu_ce_n, rst_n, r_nw, addr, d_io, rdy);
 \r
+-----------------------------------------------------------\r
+-----------------------------------------------------------\r
+------------------debug pin setting....--------------------    \r
+-----------------------------------------------------------\r
+-----------------------------------------------------------\r
+\r
     clock_counter_inst : counter_register generic map (64) port map \r
         (cpu_clk, rst_n, '0', '1', (others=>'0'), clock_counter);\r
 \r
@@ -495,6 +456,47 @@ begin
 --    dbg_cpu_clk <= loop24(23);\r
 --    dbg_ppu_clk <= loop24(22);\r
 --    dbg_mem_clk <= loop24(21);\r
+\r
+\r
+    dbg_base_clk <= ppu_clk;\r
+--    dbg_exec_cycle(2 downto 1) <= dbg_vga_x(9 downto 8);\r
+--    dbg_exec_cycle(0) <= dbg_nes_x(8);\r
+--    dbg_instruction <= dbg_nes_x(7 downto 0);\r
+--    dbg_exec_cycle(3) <= dbg_emu_ppu_clk;\r
+--\r
+--    dbg_exec_cycle(4) <= dbg_nes_y(8);\r
+--    dbg_status <= dbg_nes_y(7 downto 0);\r
+\r
+\r
+--    dbg_ppu_scrl_x(0) <= ale;\r
+--    dbg_ppu_scrl_x(1) <= rd_n;\r
+--    dbg_ppu_scrl_x(2) <= wr_n;\r
+--    dbg_ppu_scrl_x(3) <= nt0_ce_n;\r
+--    dbg_ppu_scrl_x(4) <= vga_clk;\r
+--    dbg_ppu_scrl_x(5) <= rom_ce_n;\r
+--    dbg_ppu_scrl_x(6) <= ram_ce_n;\r
+--    dbg_ppu_scrl_x(7) <= addr(15);\r
+--    dbg_ppu_scrl_y(2 downto 0) <= dbg_p_oam_ce_rn_wn(2 downto 0);\r
+--    dbg_ppu_scrl_y(5 downto 3) <= dbg_plt_ce_rn_wn(2 downto 0);\r
+    dbg_disp_ptn_l (7 downto 0) <= dbg_p_oam_addr;\r
+    dbg_disp_ptn_l (15 downto 8) <= dbg_p_oam_data;\r
+\r
+    dbg_cpu_clk <= cpu_clk;\r
+    dbg_mem_clk <= mem_clk;\r
+    dbg_r_nw <= r_nw;\r
+    dbg_addr <= addr;\r
+    dbg_d_io <= d_io;\r
+    dbg_vram_ad  <= vram_ad ;\r
+    dbg_vram_a  <= vram_a ;\r
+\r
+    dbg_sp(7 downto 6) <= dbg_ppu_clk_cnt;\r
+    dbg_sp(5 downto 0) <= v_addr (13 downto 8);\r
+    dbg_x <= v_addr (7 downto 0);\r
+\r
+    dbg_nmi <= nmi_n;\r
+--    nmi_n <= dummy_nmi;\r
+--    dbg_ppu_ctrl <= dbg_pcl;\r
+--    dbg_ppu_mask <= dbg_pch;\r
 
 end rtl;
 
index 80fef8f..b31a7d9 100644 (file)
@@ -134,8 +134,6 @@ entity processor_status is
     port (  
     signal dbg_dec_oe_n    : out std_logic;
     signal dbg_dec_val     : out std_logic_vector (7 downto 0);
-    signal dbg_int_dbus    : out std_logic_vector (7 downto 0);
---    signal dbg_status_val    : out std_logic_vector (7 downto 0);
     signal dbg_stat_we_n    : out std_logic;
 
     
@@ -208,9 +206,6 @@ begin
     stat_c <= status_val(0);
 
     dbg_dec_oe_n    <= dec_oe_n    ;
-    --dbg_dec_val     <= dec_val     ;
-    --dbg_int_dbus    <= int_dbus    ;
-    --dbg_status_val <= status_val;
     dbg_stat_we_n <= we_n;
 
     main_p : process (clk, res_n, we_n, dec_val, int_dbus, 
index 0b755ee..684b756 100644 (file)
@@ -14,7 +14,6 @@ entity mos6502 is
     signal dbg_pcl, dbg_pch, dbg_sp, dbg_x, dbg_y, dbg_acc       : out std_logic_vector(7 downto 0);\r
     signal dbg_dec_oe_n    : out std_logic;\r
     signal dbg_dec_val     : out std_logic_vector (7 downto 0);\r
-    signal dbg_int_dbus    : out std_logic_vector (7 downto 0);\r
     signal dbg_stat_we_n    : out std_logic;\r
     signal dbg_idl_h, dbg_idl_l     : out std_logic_vector (7 downto 0);\r
 \r
@@ -226,8 +225,6 @@ component processor_status
     port (  
     signal dbg_dec_oe_n    : out std_logic;\r
     signal dbg_dec_val     : out std_logic_vector (dsize - 1 downto 0);\r
-    signal dbg_int_dbus    : out std_logic_vector (dsize - 1 downto 0);\r
---    signal dbg_status_val    : out std_logic_vector (7 downto 0);\r
     signal dbg_stat_we_n    : out std_logic;\r
     \r
             clk         : in std_logic;
@@ -534,8 +531,6 @@ begin
             port map (\r
     dbg_dec_oe_n,\r
     dbg_dec_val,\r
-    dbg_int_dbus,\r
---    dbg_status_val,\r
     dbg_stat_we_n    ,\r
                     trig_clk, rst_n, 
                     stat_dec_oe_n, stat_bus_oe_n,