OSDN Git Service

st ii for cpu added.
authorastoria-d <astoria-d@mail.goo.ne.jp>
Mon, 19 Sep 2016 02:33:21 +0000 (11:33 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Mon, 19 Sep 2016 02:33:21 +0000 (11:33 +0900)
de0_cv_nes/de0-cv-cpu-analyze-all_auto_stripped.stp [new file with mode: 0644]

diff --git a/de0_cv_nes/de0-cv-cpu-analyze-all_auto_stripped.stp b/de0_cv_nes/de0-cv-cpu-analyze-all_auto_stripped.stp
new file mode 100644 (file)
index 0000000..29ca807
--- /dev/null
@@ -0,0 +1,1610 @@
+<session jtag_chain="USB-Blaster [USB-0]" jtag_device="@1: 5CE(BA4|FA4) (0x02B050DD)" sof_file="output_files/de0_cv_nes.sof">\r
+  <display_tree gui_logging_enabled="0">\r
+    <display_branch instance="auto_signaltap_0" log="USE_GLOBAL_TEMP" signal_set="USE_GLOBAL_TEMP" trigger="USE_GLOBAL_TEMP"/>\r
+  </display_tree>\r
+  <instance enabled="true" entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
+    <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/08/28 16:47:01  #0">\r
+      <clock name="dbg_base_clk" polarity="posedge" tap_mode="classic"/>\r
+      <config ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="8192" trigger_in_enable="no" trigger_out_enable="no"/>\r
+      <top_entity/>\r
+      <signal_vec>\r
+        <trigger_input_vec>\r
+          <wire name="b[0]" tap_mode="classic"/>\r
+          <wire name="b[1]" tap_mode="classic"/>\r
+          <wire name="b[2]" tap_mode="classic"/>\r
+          <wire name="b[3]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[0]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[10]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[11]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[12]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[13]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[14]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[15]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[16]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[17]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[18]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[19]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[1]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[20]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[21]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[22]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[23]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[24]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[25]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[26]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[27]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[28]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[29]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[2]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[30]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[31]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[32]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[33]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[34]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[35]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[36]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[37]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[38]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[39]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[3]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[40]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[41]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[42]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[43]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[44]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[45]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[46]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[47]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[48]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[49]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[4]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[50]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[51]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[52]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[53]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[54]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[55]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[56]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[57]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[58]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[59]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[5]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[60]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[61]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[62]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[63]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[6]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[7]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[8]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[9]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[14]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[15]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_cpu_mem_clk" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ea_carry" tap_mode="classic"/>\r
+          <wire name="dbg_emu_ppu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
+          <wire name="dbg_nmi" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
+          <wire name="dbg_r_nw" tap_mode="classic"/>\r
+          <wire name="dbg_status[0]" tap_mode="classic"/>\r
+          <wire name="dbg_status[1]" tap_mode="classic"/>\r
+          <wire name="dbg_status[2]" tap_mode="classic"/>\r
+          <wire name="dbg_status[3]" tap_mode="classic"/>\r
+          <wire name="dbg_status[4]" tap_mode="classic"/>\r
+          <wire name="dbg_status[5]" tap_mode="classic"/>\r
+          <wire name="dbg_status[6]" tap_mode="classic"/>\r
+          <wire name="dbg_status[7]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[0]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[1]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[2]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[3]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[4]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[5]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[6]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[7]" tap_mode="classic"/>\r
+          <wire name="g[0]" tap_mode="classic"/>\r
+          <wire name="g[1]" tap_mode="classic"/>\r
+          <wire name="g[2]" tap_mode="classic"/>\r
+          <wire name="g[3]" tap_mode="classic"/>\r
+          <wire name="h_sync_n" tap_mode="classic"/>\r
+          <wire name="joypad1[0]" tap_mode="classic"/>\r
+          <wire name="joypad1[1]" tap_mode="classic"/>\r
+          <wire name="joypad1[2]" tap_mode="classic"/>\r
+          <wire name="joypad1[3]" tap_mode="classic"/>\r
+          <wire name="joypad1[4]" tap_mode="classic"/>\r
+          <wire name="joypad1[5]" tap_mode="classic"/>\r
+          <wire name="joypad1[6]" tap_mode="classic"/>\r
+          <wire name="joypad1[7]" tap_mode="classic"/>\r
+          <wire name="joypad2[0]" tap_mode="classic"/>\r
+          <wire name="joypad2[1]" tap_mode="classic"/>\r
+          <wire name="joypad2[2]" tap_mode="classic"/>\r
+          <wire name="joypad2[3]" tap_mode="classic"/>\r
+          <wire name="joypad2[4]" tap_mode="classic"/>\r
+          <wire name="joypad2[5]" tap_mode="classic"/>\r
+          <wire name="joypad2[6]" tap_mode="classic"/>\r
+          <wire name="joypad2[7]" tap_mode="classic"/>\r
+          <wire name="r[0]" tap_mode="classic"/>\r
+          <wire name="r[1]" tap_mode="classic"/>\r
+          <wire name="r[2]" tap_mode="classic"/>\r
+          <wire name="r[3]" tap_mode="classic"/>\r
+          <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="v_sync_n" tap_mode="classic"/>\r
+        </trigger_input_vec>\r
+        <data_input_vec>\r
+          <wire name="b[0]" tap_mode="classic"/>\r
+          <wire name="b[1]" tap_mode="classic"/>\r
+          <wire name="b[2]" tap_mode="classic"/>\r
+          <wire name="b[3]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[0]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[10]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[11]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[12]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[13]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[14]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[15]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[16]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[17]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[18]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[19]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[1]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[20]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[21]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[22]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[23]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[24]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[25]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[26]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[27]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[28]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[29]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[2]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[30]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[31]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[32]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[33]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[34]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[35]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[36]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[37]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[38]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[39]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[3]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[40]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[41]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[42]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[43]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[44]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[45]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[46]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[47]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[48]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[49]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[4]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[50]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[51]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[52]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[53]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[54]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[55]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[56]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[57]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[58]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[59]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[5]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[60]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[61]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[62]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[63]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[6]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[7]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[8]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[9]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[14]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[15]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_cpu_mem_clk" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ea_carry" tap_mode="classic"/>\r
+          <wire name="dbg_emu_ppu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
+          <wire name="dbg_nmi" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
+          <wire name="dbg_r_nw" tap_mode="classic"/>\r
+          <wire name="dbg_status[0]" tap_mode="classic"/>\r
+          <wire name="dbg_status[1]" tap_mode="classic"/>\r
+          <wire name="dbg_status[2]" tap_mode="classic"/>\r
+          <wire name="dbg_status[3]" tap_mode="classic"/>\r
+          <wire name="dbg_status[4]" tap_mode="classic"/>\r
+          <wire name="dbg_status[5]" tap_mode="classic"/>\r
+          <wire name="dbg_status[6]" tap_mode="classic"/>\r
+          <wire name="dbg_status[7]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[0]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[1]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[2]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[3]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[4]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[5]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[6]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[7]" tap_mode="classic"/>\r
+          <wire name="g[0]" tap_mode="classic"/>\r
+          <wire name="g[1]" tap_mode="classic"/>\r
+          <wire name="g[2]" tap_mode="classic"/>\r
+          <wire name="g[3]" tap_mode="classic"/>\r
+          <wire name="h_sync_n" tap_mode="classic"/>\r
+          <wire name="joypad1[0]" tap_mode="classic"/>\r
+          <wire name="joypad1[1]" tap_mode="classic"/>\r
+          <wire name="joypad1[2]" tap_mode="classic"/>\r
+          <wire name="joypad1[3]" tap_mode="classic"/>\r
+          <wire name="joypad1[4]" tap_mode="classic"/>\r
+          <wire name="joypad1[5]" tap_mode="classic"/>\r
+          <wire name="joypad1[6]" tap_mode="classic"/>\r
+          <wire name="joypad1[7]" tap_mode="classic"/>\r
+          <wire name="joypad2[0]" tap_mode="classic"/>\r
+          <wire name="joypad2[1]" tap_mode="classic"/>\r
+          <wire name="joypad2[2]" tap_mode="classic"/>\r
+          <wire name="joypad2[3]" tap_mode="classic"/>\r
+          <wire name="joypad2[4]" tap_mode="classic"/>\r
+          <wire name="joypad2[5]" tap_mode="classic"/>\r
+          <wire name="joypad2[6]" tap_mode="classic"/>\r
+          <wire name="joypad2[7]" tap_mode="classic"/>\r
+          <wire name="r[0]" tap_mode="classic"/>\r
+          <wire name="r[1]" tap_mode="classic"/>\r
+          <wire name="r[2]" tap_mode="classic"/>\r
+          <wire name="r[3]" tap_mode="classic"/>\r
+          <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="v_sync_n" tap_mode="classic"/>\r
+        </data_input_vec>\r
+        <storage_qualifier_input_vec>\r
+          <wire name="b[0]" tap_mode="classic"/>\r
+          <wire name="b[1]" tap_mode="classic"/>\r
+          <wire name="b[2]" tap_mode="classic"/>\r
+          <wire name="b[3]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[0]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[10]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[11]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[12]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[13]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[14]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[15]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[16]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[17]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[18]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[19]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[1]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[20]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[21]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[22]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[23]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[24]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[25]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[26]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[27]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[28]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[29]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[2]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[30]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[31]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[32]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[33]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[34]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[35]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[36]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[37]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[38]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[39]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[3]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[40]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[41]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[42]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[43]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[44]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[45]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[46]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[47]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[48]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[49]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[4]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[50]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[51]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[52]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[53]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[54]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[55]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[56]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[57]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[58]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[59]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[5]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[60]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[61]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[62]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[63]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[6]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[7]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[8]" tap_mode="classic"/>\r
+          <wire name="counter_register:clock_counter_inst|\clk_p:q_out[9]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[14]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[15]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_cpu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_cpu_mem_clk" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[0]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[1]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[2]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[3]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[4]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[5]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[6]" tap_mode="classic"/>\r
+          <wire name="dbg_d_io[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ea_carry" tap_mode="classic"/>\r
+          <wire name="dbg_emu_ppu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[0]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[1]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[2]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[3]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[4]" tap_mode="classic"/>\r
+          <wire name="dbg_exec_cycle[5]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[0]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[1]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[2]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[3]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[4]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[5]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[6]" tap_mode="classic"/>\r
+          <wire name="dbg_instruction[7]" tap_mode="classic"/>\r
+          <wire name="dbg_nmi" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ce_n" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_clk" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_ctrl[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_data[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_mask[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_x[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_scrl_y[7]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[0]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[1]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[2]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[3]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[4]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[5]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[6]" tap_mode="classic"/>\r
+          <wire name="dbg_ppu_status[7]" tap_mode="classic"/>\r
+          <wire name="dbg_r_nw" tap_mode="classic"/>\r
+          <wire name="dbg_status[0]" tap_mode="classic"/>\r
+          <wire name="dbg_status[1]" tap_mode="classic"/>\r
+          <wire name="dbg_status[2]" tap_mode="classic"/>\r
+          <wire name="dbg_status[3]" tap_mode="classic"/>\r
+          <wire name="dbg_status[4]" tap_mode="classic"/>\r
+          <wire name="dbg_status[5]" tap_mode="classic"/>\r
+          <wire name="dbg_status[6]" tap_mode="classic"/>\r
+          <wire name="dbg_status[7]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[0]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[10]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[11]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[12]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[13]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[1]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[2]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[3]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[4]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[5]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[6]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[7]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[8]" tap_mode="classic"/>\r
+          <wire name="dbg_v_addr[9]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[0]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[1]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[2]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[3]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[4]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[5]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[6]" tap_mode="classic"/>\r
+          <wire name="dbg_v_data[7]" tap_mode="classic"/>\r
+          <wire name="g[0]" tap_mode="classic"/>\r
+          <wire name="g[1]" tap_mode="classic"/>\r
+          <wire name="g[2]" tap_mode="classic"/>\r
+          <wire name="g[3]" tap_mode="classic"/>\r
+          <wire name="h_sync_n" tap_mode="classic"/>\r
+          <wire name="joypad1[0]" tap_mode="classic"/>\r
+          <wire name="joypad1[1]" tap_mode="classic"/>\r
+          <wire name="joypad1[2]" tap_mode="classic"/>\r
+          <wire name="joypad1[3]" tap_mode="classic"/>\r
+          <wire name="joypad1[4]" tap_mode="classic"/>\r
+          <wire name="joypad1[5]" tap_mode="classic"/>\r
+          <wire name="joypad1[6]" tap_mode="classic"/>\r
+          <wire name="joypad1[7]" tap_mode="classic"/>\r
+          <wire name="joypad2[0]" tap_mode="classic"/>\r
+          <wire name="joypad2[1]" tap_mode="classic"/>\r
+          <wire name="joypad2[2]" tap_mode="classic"/>\r
+          <wire name="joypad2[3]" tap_mode="classic"/>\r
+          <wire name="joypad2[4]" tap_mode="classic"/>\r
+          <wire name="joypad2[5]" tap_mode="classic"/>\r
+          <wire name="joypad2[6]" tap_mode="classic"/>\r
+          <wire name="joypad2[7]" tap_mode="classic"/>\r
+          <wire name="r[0]" tap_mode="classic"/>\r
+          <wire name="r[1]" tap_mode="classic"/>\r
+          <wire name="r[2]" tap_mode="classic"/>\r
+          <wire name="r[3]" tap_mode="classic"/>\r
+          <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="v_sync_n" tap_mode="classic"/>\r
+        </storage_qualifier_input_vec>\r
+      </signal_vec>\r
+      <presentation>\r
+        <unified_setup_data_view>\r
+          <node name="clock_counter" order="lsb_to_msb" type="register">\r
+            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
+            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
+            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
+            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
+            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
+            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
+            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
+            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
+            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
+            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
+            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
+            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
+            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
+            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
+            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
+            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
+            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
+            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
+            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
+            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
+          </node>\r
+          <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="output pin"/>\r
+          <node data_index="231" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="input pin"/>\r
+          <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
+          <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_mem_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="output pin"/>\r
+          <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="output pin"/>\r
+          <node name="dbg_addr" order="msb_to_lsb" type="output pin">\r
+            <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="output pin"/>\r
+            <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="output pin"/>\r
+            <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="output pin"/>\r
+            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="output pin"/>\r
+            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="output pin"/>\r
+            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="output pin"/>\r
+            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="output pin"/>\r
+            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="output pin"/>\r
+            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="output pin"/>\r
+            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="output pin"/>\r
+            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="output pin"/>\r
+            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="output pin"/>\r
+            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="output pin"/>\r
+            <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="output pin"/>\r
+            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_d_io" order="msb_to_lsb" type="output pin">\r
+            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="output pin"/>\r
+            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="output pin"/>\r
+            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="output pin"/>\r
+            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="output pin"/>\r
+            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="output pin"/>\r
+            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="output pin"/>\r
+            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="output pin"/>\r
+            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="output pin"/>\r
+          </node>\r
+          <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="output pin"/>\r
+          <node name="dbg_exec_cycle" order="msb_to_lsb" type="output pin">\r
+            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="output pin"/>\r
+            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="output pin"/>\r
+            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="output pin"/>\r
+            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="output pin"/>\r
+            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="output pin"/>\r
+            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_instruction" order="msb_to_lsb" type="output pin">\r
+            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="output pin"/>\r
+            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="output pin"/>\r
+            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="output pin"/>\r
+            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="output pin"/>\r
+            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="output pin"/>\r
+            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="output pin"/>\r
+            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="output pin"/>\r
+            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_status" order="msb_to_lsb" type="output pin">\r
+            <node data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
+            <node data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
+            <node data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
+            <node data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
+            <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
+            <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
+            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
+            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
+          </node>\r
+          <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="output pin"/>\r
+          <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="output pin"/>\r
+          <node name="dbg_ppu_addr" order="msb_to_lsb" type="output pin">\r
+            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="output pin"/>\r
+            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="output pin"/>\r
+            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="output pin"/>\r
+            <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="output pin"/>\r
+            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="output pin"/>\r
+            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="output pin"/>\r
+            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="output pin"/>\r
+            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="output pin"/>\r
+            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="output pin"/>\r
+            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="output pin"/>\r
+            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="output pin"/>\r
+            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="output pin"/>\r
+            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="output pin"/>\r
+            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_ppu_ctrl" order="msb_to_lsb" type="output pin">\r
+            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="output pin"/>\r
+            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="output pin"/>\r
+            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="output pin"/>\r
+            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="output pin"/>\r
+            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="output pin"/>\r
+            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="output pin"/>\r
+            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="output pin"/>\r
+            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_ppu_data" order="msb_to_lsb" type="output pin">\r
+            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
+            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
+            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
+            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
+            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
+            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
+            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="output pin"/>\r
+            <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_ppu_mask" order="msb_to_lsb" type="output pin">\r
+            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
+            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
+            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
+            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
+            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
+            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
+            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
+            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_ppu_scrl_x" order="msb_to_lsb" type="output pin">\r
+            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
+            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
+            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
+            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
+            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
+            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
+            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
+            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_ppu_scrl_y" order="msb_to_lsb" type="output pin">\r
+            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
+            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
+            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
+            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
+            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
+            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
+            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
+            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_ppu_status" order="msb_to_lsb" type="output pin">\r
+            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
+            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
+            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
+            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
+            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
+            <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
+            <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
+            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
+          </node>\r
+          <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_emu_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="output pin"/>\r
+          <node name="dbg_v_addr" order="msb_to_lsb" type="output pin">\r
+            <node data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
+            <node data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
+            <node data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
+            <node data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
+            <node data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
+            <node data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
+            <node data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
+            <node data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
+            <node data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
+            <node data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
+            <node data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
+            <node data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
+            <node data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
+            <node data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
+          </node>\r
+          <node name="dbg_v_data" order="msb_to_lsb" type="output pin">\r
+            <node data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="output pin"/>\r
+            <node data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="output pin"/>\r
+            <node data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="output pin"/>\r
+            <node data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="output pin"/>\r
+            <node data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="output pin"/>\r
+            <node data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
+            <node data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
+            <node data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
+          </node>\r
+          <node name="r" order="msb_to_lsb" type="output pin">\r
+            <node data_index="230" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="output pin"/>\r
+            <node data_index="229" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="output pin"/>\r
+            <node data_index="228" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="output pin"/>\r
+            <node data_index="227" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="output pin"/>\r
+          </node>\r
+          <node name="g" order="msb_to_lsb" type="output pin">\r
+            <node data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="output pin"/>\r
+            <node data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="output pin"/>\r
+            <node data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="output pin"/>\r
+            <node data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="output pin"/>\r
+          </node>\r
+          <node name="b" order="msb_to_lsb" type="output pin">\r
+            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+          </node>\r
+          <node data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="output pin"/>\r
+          <node data_index="232" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="output pin"/>\r
+          <node name="joypad1" order="msb_to_lsb" type="input pin">\r
+            <node data_index="218" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="input pin"/>\r
+            <node data_index="217" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="input pin"/>\r
+            <node data_index="216" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="input pin"/>\r
+            <node data_index="215" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="input pin"/>\r
+            <node data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="input pin"/>\r
+            <node data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="input pin"/>\r
+            <node data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="input pin"/>\r
+            <node data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="input pin"/>\r
+          </node>\r
+          <node name="joypad2" order="msb_to_lsb" type="input pin">\r
+            <node data_index="226" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="input pin"/>\r
+            <node data_index="225" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="input pin"/>\r
+            <node data_index="224" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="input pin"/>\r
+            <node data_index="223" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="input pin"/>\r
+            <node data_index="222" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="input pin"/>\r
+            <node data_index="221" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="input pin"/>\r
+            <node data_index="220" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="input pin"/>\r
+            <node data_index="219" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="input pin"/>\r
+          </node>\r
+        </unified_setup_data_view>\r
+        <data_view>\r
+          <bus name="clock_counter" order="lsb_to_msb" type="register">\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
+          </bus>\r
+          <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="output pin"/>\r
+          <net data_index="231" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="input pin"/>\r
+          <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
+          <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_mem_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="output pin"/>\r
+          <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="output pin"/>\r
+          <bus name="dbg_addr" order="msb_to_lsb" type="output pin">\r
+            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="output pin"/>\r
+            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="output pin"/>\r
+            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="output pin"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="output pin"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="output pin"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="output pin"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="output pin"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="output pin"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="output pin"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="output pin"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="output pin"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="output pin"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="output pin"/>\r
+            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="output pin"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_d_io" order="msb_to_lsb" type="output pin">\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="output pin"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="output pin"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="output pin"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="output pin"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="output pin"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="output pin"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="output pin"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="output pin"/>\r
+          </bus>\r
+          <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="output pin"/>\r
+          <bus name="dbg_exec_cycle" order="msb_to_lsb" type="output pin">\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="output pin"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="output pin"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="output pin"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="output pin"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="output pin"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_instruction" order="msb_to_lsb" type="output pin">\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="output pin"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="output pin"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="output pin"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="output pin"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="output pin"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="output pin"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="output pin"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_status" order="msb_to_lsb" type="output pin">\r
+            <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
+            <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
+            <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
+            <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
+            <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
+            <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
+          </bus>\r
+          <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="output pin"/>\r
+          <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="output pin"/>\r
+          <bus name="dbg_ppu_addr" order="msb_to_lsb" type="output pin">\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="output pin"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="output pin"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="output pin"/>\r
+            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="output pin"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="output pin"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="output pin"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="output pin"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="output pin"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="output pin"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="output pin"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="output pin"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="output pin"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="output pin"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_ctrl" order="msb_to_lsb" type="output pin">\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="output pin"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="output pin"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="output pin"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="output pin"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="output pin"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="output pin"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="output pin"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_data" order="msb_to_lsb" type="output pin">\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="output pin"/>\r
+            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_mask" order="msb_to_lsb" type="output pin">\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_scrl_x" order="msb_to_lsb" type="output pin">\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_scrl_y" order="msb_to_lsb" type="output pin">\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_status" order="msb_to_lsb" type="output pin">\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
+            <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
+            <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
+          </bus>\r
+          <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_emu_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="output pin"/>\r
+          <bus name="dbg_v_addr" order="msb_to_lsb" type="output pin">\r
+            <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
+            <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
+            <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
+            <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
+            <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
+            <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
+            <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
+            <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
+            <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
+            <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
+            <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
+            <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
+            <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
+            <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_v_data" order="msb_to_lsb" type="output pin">\r
+            <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="output pin"/>\r
+            <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="output pin"/>\r
+            <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="output pin"/>\r
+            <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="output pin"/>\r
+            <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="output pin"/>\r
+            <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
+            <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
+            <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
+          </bus>\r
+          <bus name="r" order="msb_to_lsb" type="output pin">\r
+            <net data_index="230" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="output pin"/>\r
+            <net data_index="229" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="output pin"/>\r
+            <net data_index="228" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="output pin"/>\r
+            <net data_index="227" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="output pin"/>\r
+          </bus>\r
+          <bus name="g" order="msb_to_lsb" type="output pin">\r
+            <net data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="output pin"/>\r
+            <net data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="output pin"/>\r
+            <net data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="output pin"/>\r
+            <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="output pin"/>\r
+          </bus>\r
+          <bus name="b" order="msb_to_lsb" type="output pin">\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+          </bus>\r
+          <net data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="output pin"/>\r
+          <net data_index="232" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="output pin"/>\r
+          <bus name="joypad1" order="msb_to_lsb" type="input pin">\r
+            <net data_index="218" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="input pin"/>\r
+            <net data_index="217" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="input pin"/>\r
+            <net data_index="216" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="input pin"/>\r
+            <net data_index="215" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="input pin"/>\r
+            <net data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="input pin"/>\r
+            <net data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="input pin"/>\r
+            <net data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="input pin"/>\r
+            <net data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="input pin"/>\r
+          </bus>\r
+          <bus name="joypad2" order="msb_to_lsb" type="input pin">\r
+            <net data_index="226" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="input pin"/>\r
+            <net data_index="225" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="input pin"/>\r
+            <net data_index="224" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="input pin"/>\r
+            <net data_index="223" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="input pin"/>\r
+            <net data_index="222" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="input pin"/>\r
+            <net data_index="221" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="input pin"/>\r
+            <net data_index="220" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="input pin"/>\r
+            <net data_index="219" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="input pin"/>\r
+          </bus>\r
+        </data_view>\r
+        <setup_view>\r
+          <bus name="clock_counter" order="lsb_to_msb" type="register">\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="48" type="register"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="59" type="register"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" trigger_index="64" type="register"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" trigger_index="65" type="register"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" trigger_index="66" type="register"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" trigger_index="67" type="register"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="24" type="register"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="49" type="register"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="50" type="register"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="51" type="register"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="52" type="register"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="53" type="register"/>\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="54" type="register"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="55" type="register"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="56" type="register"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="57" type="register"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="58" type="register"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="60" type="register"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="61" type="register"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="62" type="register"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="counter_register:clock_counter_inst|\clk_p:q_out[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="63" type="register"/>\r
+          </bus>\r
+          <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_nmi" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="110" type="output pin"/>\r
+          <net data_index="231" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="input pin"/>\r
+          <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_r_nw" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="175" type="output pin"/>\r
+          <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_mem_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="85" type="output pin"/>\r
+          <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_cpu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="84" type="output pin"/>\r
+          <bus name="dbg_addr" order="msb_to_lsb" type="output pin">\r
+            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="74" type="output pin"/>\r
+            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="73" type="output pin"/>\r
+            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="72" type="output pin"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" trigger_index="71" type="output pin"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" trigger_index="70" type="output pin"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" trigger_index="69" type="output pin"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="83" type="output pin"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="82" type="output pin"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="80" type="output pin"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="79" type="output pin"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="78" type="output pin"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="77" type="output pin"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="76" type="output pin"/>\r
+            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="75" type="output pin"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" trigger_index="68" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_d_io" order="msb_to_lsb" type="output pin">\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="93" type="output pin"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="92" type="output pin"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="91" type="output pin"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="90" type="output pin"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="89" type="output pin"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="88" type="output pin"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="87" type="output pin"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_d_io[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="86" type="output pin"/>\r
+          </bus>\r
+          <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ea_carry" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="94" type="output pin"/>\r
+          <bus name="dbg_exec_cycle" order="msb_to_lsb" type="output pin">\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="101" type="output pin"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="100" type="output pin"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="99" type="output pin"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="98" type="output pin"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="97" type="output pin"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_exec_cycle[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="96" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_instruction" order="msb_to_lsb" type="output pin">\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="109" type="output pin"/>\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="108" type="output pin"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="107" type="output pin"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="106" type="output pin"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="105" type="output pin"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="104" type="output pin"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="103" type="output pin"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_instruction[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="102" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_status" order="msb_to_lsb" type="output pin">\r
+            <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="output pin"/>\r
+            <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="output pin"/>\r
+            <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="output pin"/>\r
+            <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="output pin"/>\r
+            <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="output pin"/>\r
+            <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="output pin"/>\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="output pin"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="176" type="output pin"/>\r
+          </bus>\r
+          <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="126" type="output pin"/>\r
+          <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ce_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="125" type="output pin"/>\r
+          <bus name="dbg_ppu_addr" order="msb_to_lsb" type="output pin">\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="115" type="output pin"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="114" type="output pin"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="113" type="output pin"/>\r
+            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="112" type="output pin"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="124" type="output pin"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="123" type="output pin"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="122" type="output pin"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="121" type="output pin"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="120" type="output pin"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="119" type="output pin"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="118" type="output pin"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="117" type="output pin"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="116" type="output pin"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="111" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_ctrl" order="msb_to_lsb" type="output pin">\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="134" type="output pin"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="133" type="output pin"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="132" type="output pin"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="131" type="output pin"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="130" type="output pin"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="129" type="output pin"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="128" type="output pin"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="127" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_data" order="msb_to_lsb" type="output pin">\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="142" type="output pin"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="141" type="output pin"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="140" type="output pin"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="139" type="output pin"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="138" type="output pin"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="137" type="output pin"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="136" type="output pin"/>\r
+            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="135" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_mask" order="msb_to_lsb" type="output pin">\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="150" type="output pin"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="149" type="output pin"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="148" type="output pin"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="147" type="output pin"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="146" type="output pin"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="145" type="output pin"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="144" type="output pin"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="143" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_scrl_x" order="msb_to_lsb" type="output pin">\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="158" type="output pin"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="157" type="output pin"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="156" type="output pin"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="155" type="output pin"/>\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="154" type="output pin"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="153" type="output pin"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="152" type="output pin"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="151" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_scrl_y" order="msb_to_lsb" type="output pin">\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="166" type="output pin"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="165" type="output pin"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="164" type="output pin"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="163" type="output pin"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="162" type="output pin"/>\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="161" type="output pin"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="160" type="output pin"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_scrl_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="159" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_ppu_status" order="msb_to_lsb" type="output pin">\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="174" type="output pin"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="173" type="output pin"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="172" type="output pin"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="171" type="output pin"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="170" type="output pin"/>\r
+            <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="169" type="output pin"/>\r
+            <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="168" type="output pin"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="167" type="output pin"/>\r
+          </bus>\r
+          <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_emu_ppu_clk" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="95" type="output pin"/>\r
+          <bus name="dbg_v_addr" order="msb_to_lsb" type="output pin">\r
+            <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="output pin"/>\r
+            <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="output pin"/>\r
+            <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="output pin"/>\r
+            <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="output pin"/>\r
+            <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="output pin"/>\r
+            <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="output pin"/>\r
+            <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="output pin"/>\r
+            <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="output pin"/>\r
+            <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="output pin"/>\r
+            <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="output pin"/>\r
+            <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="output pin"/>\r
+            <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="output pin"/>\r
+            <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="output pin"/>\r
+            <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="output pin"/>\r
+          </bus>\r
+          <bus name="dbg_v_data" order="msb_to_lsb" type="output pin">\r
+            <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="output pin"/>\r
+            <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="output pin"/>\r
+            <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="output pin"/>\r
+            <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="output pin"/>\r
+            <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="output pin"/>\r
+            <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="output pin"/>\r
+            <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="output pin"/>\r
+            <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="dbg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="output pin"/>\r
+          </bus>\r
+          <bus name="r" order="msb_to_lsb" type="output pin">\r
+            <net data_index="230" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="output pin"/>\r
+            <net data_index="229" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="output pin"/>\r
+            <net data_index="228" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="output pin"/>\r
+            <net data_index="227" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="227" type="output pin"/>\r
+          </bus>\r
+          <bus name="g" order="msb_to_lsb" type="output pin">\r
+            <net data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="output pin"/>\r
+            <net data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="output pin"/>\r
+            <net data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="output pin"/>\r
+            <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="output pin"/>\r
+          </bus>\r
+          <bus name="b" order="msb_to_lsb" type="output pin">\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="output pin"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="output pin"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="output pin"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="output pin"/>\r
+          </bus>\r
+          <net data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="output pin"/>\r
+          <net data_index="232" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="232" type="output pin"/>\r
+          <bus name="joypad1" order="msb_to_lsb" type="input pin">\r
+            <net data_index="218" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="input pin"/>\r
+            <net data_index="217" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="input pin"/>\r
+            <net data_index="216" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="input pin"/>\r
+            <net data_index="215" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="input pin"/>\r
+            <net data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="input pin"/>\r
+            <net data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="input pin"/>\r
+            <net data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="input pin"/>\r
+            <net data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad1[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="input pin"/>\r
+          </bus>\r
+          <bus name="joypad2" order="msb_to_lsb" type="input pin">\r
+            <net data_index="226" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="226" type="input pin"/>\r
+            <net data_index="225" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="225" type="input pin"/>\r
+            <net data_index="224" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="224" type="input pin"/>\r
+            <net data_index="223" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="223" type="input pin"/>\r
+            <net data_index="222" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="222" type="input pin"/>\r
+            <net data_index="221" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="221" type="input pin"/>\r
+            <net data_index="220" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="220" type="input pin"/>\r
+            <net data_index="219" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="joypad2[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="input pin"/>\r
+          </bus>\r
+        </setup_view>\r
+        <trigger_in_editor/>\r
+        <trigger_out_editor/>\r
+      </presentation>\r
+      <trigger CRC="1D7CFFE1" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2016/09/05 21:43:47  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+        <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
+        <events use_custom_flow_control="no">\r
+          <level enabled="yes" name="condition1" type="basic">'rst_n' == rising edge\r
+            <power_up enabled="yes">\r
+            </power_up>\r
+            <op_node/>\r
+          </level>\r
+        </events>\r
+        <storage_qualifier_events>\r
+          <transitional>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111\r
+            <pwr_up_transitional>11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</pwr_up_transitional>\r
+          </transitional>\r
+          <storage_qualifier_level type="basic">\r
+            <power_up>\r
+            </power_up>\r
+            <op_node/>\r
+          </storage_qualifier_level>\r
+          <storage_qualifier_level type="basic">\r
+            <power_up>\r
+            </power_up>\r
+            <op_node/>\r
+          </storage_qualifier_level>\r
+          <storage_qualifier_level type="basic">\r
+            <power_up>\r
+            </power_up>\r
+            <op_node/>\r
+          </storage_qualifier_level>\r
+        </storage_qualifier_events>\r
+        <log>\r
+          <data global_temp="1" name="log: 2016/09/05 21:43:47  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">0000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000000000101110111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110100010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000000001011101111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001100000000000000000000000000000000011000000000000010010010000000000000000000000000000000010111011110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111000001000000000010000000000000011000000000000000000000000000000000110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110000010000000000100000000000000110000000000000000000000000000000001100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111101000100000000001000000000000001000000000000000000000000000000000011000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111010001000000000010000000000000010000000000000000000000000000000000110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111110000010000000000100000000000000100000000000000000000000000000000001100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111111100000100000000001000000000000001000000000000000000000000000000000011000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010001000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100010000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000001111111111111111101111111101000100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000000011111111111111111011111111010001000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000000111111111111111110111111110000010000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000001111111111111111101111111100000100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000000011111111111111111011111111010001000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000000111111111111111110111111110100010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000001111111111111111101111111100000100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000000011111111111111111011111111000001000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000000111111111111111101000000000110010000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000001111111111111111010000000001100100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000000011111111111111110100000000001001000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000000111111111111111101000000000010010000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000001111111111111111000000000001100100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000000011111111111111110000000000011001000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000000111111111111111100000000000010010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000001111111111111111000000000000100100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000000011111111111111111000000000011001000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000000111111111111111110000000000110010000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000001111111111111111100000000000100100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000000011111111111111111000000000001001000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000000111111111111111110000000000110010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000001111111111111111100000000001100100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000000011111111111111111000000000001001000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000000111111111111111110000000000010010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000001111111111111111010000000001010100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000000011111111111111110100000000010101000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000000111111111111111101000000000001010000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000001111111111111111010000000000010100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000000011111111111111110000000000010101000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000000111111111111111100000000000101010000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000001111111111111111000000000000010100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000000011111111111111110000000000000101000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000000000000000000001010000000000101010000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000000000000000000010100000000001010100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000000000000000000000101000000000000101000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000000000000000000001010000000000001010000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000000000000000000010100000000001010100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000000000000000000000101000000000010101000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000000000000000000001010000000000001010000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000000000000000000010100000000000010100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000000000000000000000100100000000011101000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000000000000000000001001000000000111010000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000000000000000000010010000000000110100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000000000000000000000100100000000001101000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000111010000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000000000000000000010000000000001110100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000000000000000000000100000000000001101000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000011010000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000001000000111111110101000000001110100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000000010000001111111101010000000011101000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000000100000011111111010100000000011010000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000001000000111111110101000000000110100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000000010000001111111101010000000011101000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000000100000011111111010100000000111010000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000001000000111111110101000000000110100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000000010000001111111101010000000001101000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000000100000011111111001100000000100110000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000001000000111111110011000000001001100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000000010000001111111100110000000000011000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000000100000011111111001100000000000110000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000001000000111111110001000000001001100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000000010000001111111100010000000010011000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000000100000011111111000100000000000110000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000001000000111111110001000000000001100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000000000000001111111101000100100010011000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000000000000011111111010001001000100110000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000000000000111111110100010010000001100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000000000000001111111101000100100000011000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000000000000011111111010001001000100110000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000000000000111111110100010010001001100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000000000000001111111101000100100000011000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000000000000011111111010001001000000110000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000000000000111111110010010010001101100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000000000000001111111100100100100011011000000000010000000000000011000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000000000000011111111001001001000010110000000000100000000000000110000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000000000000111111110010010010000101100000000001000000000000001100000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000000000000001111111100000100100011011000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000000000000011111111000001001000110110000000000100000000000000100000000000000000000000000000000011100000000000000001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000000000000111111110000010010000101100000000001000000000000001000000000000000000000000000000000111000000000000000010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000000000000001111111100000100100001011000000000010000000000000010000000000000000000000000000000001110000000000000000100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000000011111101111111110111111110110110000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000000111111011111111101111111101101100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000000001111110111111111011111111001011000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000000011111101111111110111111110010110000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000000111111011111111101111111101101100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000000001111110111111111011111111011011000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000000011111101111111110111111110010110000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000000111111011111111101111111100101100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000000001111110111111110100000000010111000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000000011111101111111101000000000101110000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000000111111011111111010000000000011100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000000001111110111111110100000000000111000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000000011111101111111100000000000101110000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000000111111011111111000000000001011100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000000001111110111111110000000000000111000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000000011111101111111100000000000001110000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000001111111011111111100000000001011100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000000011111110111111111000000000010111000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000000111111101111111110000000000001110000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000001111111011111111100000000000011100000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000000011111110111111111000000000010111000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000000111111101111111110000000000101110000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000001111111011111111100000000000011100000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000000011111110111111111000000000000111000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000000111111101111111101000000010100000000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000001111111011111111010000000101000000000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000000011111110111111110100000001000000000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000000111111101111111101000000010000000000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000001111111011111111000000000101000000000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000000011111110111111110000000001010000000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000000111111101111111100000000010000000000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000001111111011111111000000000100000000000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000000000000010000000001000000001010000000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000000000000100000000010000000010100000000000000100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000000000001000000000100000000100000000000000001000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000000000000010000000001000000001000000000000000010000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000000000000100000000010000000010100000000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000000000001000000000100000000101000000000000001000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000000000000010000000001000000001000000000000000010000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000000000000100000000010000000010000000000000000100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000000000001000000000010001111001100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000000000000010000000000100011110011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000000000000100000000001000111100010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000000000001000000000010001111000100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000000000000010000000000000011110011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000000000000100000000000000111100110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000000000001000000000000001111000100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000000000000010000000000000011110001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000000100000100000000010000111100110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000001000001000000000100001111001100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000000010000010000000001000011110001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000000100000100000000010000111100010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000001000001000000000100001111001100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000000010000010000000001000011110011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000000100000100000000010000111100010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000001000001000000000100001111000100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000000010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000000010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000000010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000000010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000000010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000000010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000100010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001000100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000100010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000010001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001000100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000100010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001000100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000010001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000100010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001000100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000010001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000100010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001000100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000010001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000100010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001000100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000010001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000100000100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000010000010010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000001001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000100000100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000010010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000001001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100000100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000001001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000010010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100000100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000001001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000010010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100000100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000001001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000010010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100000100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000001001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000010010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000011001000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001100100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000110010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000011001000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001100100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000110010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000011001000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000110010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001100100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000011001000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000110010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001100100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000011001000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000110010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001100100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000011001000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000110010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100001100100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000100000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000001000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000010000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000001000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000010000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000100000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000010000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000100000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000001000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000000000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000000000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000100000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000001000000000010000000000000000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000000000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000000000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000000000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000000000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000000000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000000000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000000000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000000000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000000000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000000000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000000000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000000000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000000000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000000000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000001010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000001010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000001010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000001010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000001010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000001010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000000101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001010100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000101010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000010101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100001010100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000010000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000101010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000010101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100001010100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000010101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000101010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100001010100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000010101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000101010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100001010100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000010101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000101010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000001000000000010000000000100001010100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000010000000000100000000001000010101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000100000000001000000000010000101010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000000000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000000000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000000000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000000000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000000000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000000000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000000000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000000000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000000000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000000000000000000000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000000000000000000000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000000000000000000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000010000000000000000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000100000000000000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000001000000000000000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000100000000000000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000001000000000000000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000010000000000000000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000001000000000000000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000010000000000000000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000100000000000000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000001000000000010000000000000000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000010000000000100000000000000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000100000000001000000000000000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000000000000000000000000001000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000000000000000000000000010000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000000000000000000000000000100000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000010000000000000000000000000000000010000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000100000000000000000000000000000000100000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100001000000000000000000000000000000001000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000000010111011110000000110000000000000001000000000000000000000100000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000000000101110111100000001100000000000000010000000000000000000001000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000000001011101111000000011000000000000000100000000000000000000010000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000000000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000000000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000000000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000000000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000000000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000000000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000000000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000000000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000000000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000000000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000000000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000000000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000000000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000000000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000000000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000000000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000000000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000000000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000000000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000000000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000000000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000000000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000000000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000000000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000000000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000000000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000000000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000000000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000000000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000000000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000000000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000000000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000000000000001000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000000000000000010000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000000000000000100000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000000000000000010000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000000000000000100000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000000000000001000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000000000000000100000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000000000000001000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000000000000000010000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000000000000001000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000000000000000010000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000000000000000100000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000000000000001000000000010000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000000000000000010000000000100000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000000000000000100000000001000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000000000000000010000000000100000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000000000000000100000000001000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000000000000001000000000010000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000001101000001000000000010100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000110100000100000000001010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000011010000010000000000001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100000000000000010000000000100000000001000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000000000000000100000000001000000000010000000000100000000001000001101000001000000000000100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000000000000001000000000010000000000100000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000110100000100000000010010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000011010000010000000001001000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000001101000001000000000100100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000011010000010000000001001000101011000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000110100000100000000010010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000001101000001000000000100100010100100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000011010000010000000000101000101011000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000110100000100000000001010001010110000000000001100000000000000110000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000001101000001000000000010100010100100000000000011000000000000001100000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000011010000010000000000101000101001000000000000110000000000000011000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000110100000100000000000010001010110000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011000010000000000100000000001000000000010000000000100000000001000001101000001000000000000100010101100000000000011000000000000001000000000000000000000000000000000111000000000000010010010000000000000000000000000000100010111011110000000110000100000000001000000000010000000000100000000001000000000010000011010000010000000000001000101001000000000000110000000000000010000000000000000000000000000000001110000000000000100100100000000000000000000000000001000101110111100000001100001000000000010000000000100000000001000000000010000000000100000110100000100000000000010001010010000000000001100000000000000100000000000000000000000000000000011100000000000001001001000000000000000000000000000010001011101111000000011</data>\r
+          <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
+        </log>\r
+      </trigger>\r
+    </signal_set>\r
+    <position_info>\r
+      <single attribute="active tab" value="0"/>\r
+      <single attribute="data horizontal scroll position" value="924"/>\r
+      <single attribute="data vertical scroll position" value="0"/>\r
+      <single attribute="setup horizontal scroll position" value="0"/>\r
+      <single attribute="setup vertical scroll position" value="0"/>\r
+      <single attribute="zoom level denominator" value="1"/>\r
+      <single attribute="zoom level numerator" value="16"/>\r
+      <single attribute="zoom offset denominator" value="1"/>\r
+      <single attribute="zoom offset numerator" value="7680"/>\r
+      <multi attribute="timebars" size="3" value="1024,1069,1207"/>\r
+    </position_info>\r
+  </instance>\r
+  <mnemonics/>\r
+  <static_plugin_mnemonics/>\r
+  <global_info>\r
+    <single attribute="active instance" value="0"/>\r
+    <single attribute="config widget visible" value="1"/>\r
+    <single attribute="data log widget visible" value="0"/>\r
+    <single attribute="hierarchy widget height" value="95"/>\r
+    <single attribute="hierarchy widget visible" value="0"/>\r
+    <single attribute="instance widget visible" value="1"/>\r
+    <single attribute="jtag widget visible" value="1"/>\r
+    <single attribute="lock mode" value="0"/>\r
+    <single attribute="sof manager visible" value="1"/>\r
+    <multi attribute="frame size" size="2" value="1920,1017"/>\r
+    <multi attribute="jtag widget size" size="2" value="345,189"/>\r
+  </global_info>\r
+</session>\r