OSDN Git Service

win7 32bit env updated
authorastoria-d <astoria-d@mail.goo.ne.jp>
Fri, 27 May 2016 11:11:16 +0000 (20:11 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Fri, 27 May 2016 11:11:16 +0000 (20:11 +0900)
de0_cv_nes/de0-cv-analyze-all.stp
de0_cv_nes/de0_cv_nes.qsf

index 5ca913e..313becc 100644 (file)
@@ -4,9 +4,9 @@
   </display_tree>\r
   <instance enabled="true" entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
-    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/05/20 22:25:34  #0">\r
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/05/27 17:25:06  #0">\r
       <clock name="dbg_base_clk" polarity="posedge" tap_mode="classic"/>\r
-      <config ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="128" trigger_in_enable="no" trigger_out_enable="no"/>\r
+      <config ram_type="AUTO" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="8192" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
       <signal_vec>\r
         <trigger_input_vec>\r
             <node data_index="16" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="unknown"/>\r
             <node data_index="15" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="unknown"/>\r
             <node data_index="14" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="unknown"/>\r
-            <node data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>\r
-            <node data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>\r
+            <node data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>\r
+            <node data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>\r
             <node data_index="5" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="unknown"/>\r
           </node>\r
           <node is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
             <net data_index="16" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="unknown"/>\r
             <net data_index="15" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="unknown"/>\r
             <net data_index="14" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="unknown"/>\r
-            <net data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>\r
-            <net data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>\r
+            <net data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>\r
+            <net data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>\r
             <net data_index="5" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="unknown"/>\r
           </bus>\r
           <bus is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
             <net data_index="16" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="16" type="unknown"/>\r
             <net data_index="15" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="unknown"/>\r
             <net data_index="14" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="unknown"/>\r
-            <net data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>\r
-            <net data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="high" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>\r
+            <net data_index="13" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="unknown"/>\r
+            <net data_index="12" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="unknown"/>\r
             <net data_index="5" duplicate_name_allowed="false" is_data_input="true" is_node_valid="true" is_selected="false" is_storage_input="true" is_trigger_input="true" level-0="low" name="dbg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="unknown"/>\r
           </bus>\r
           <bus is_selected="false" level-0="alt_or" name="dbg_d_io[7..0]" order="msb_to_lsb" state="collapse" storage-0="alt_or" storage-1="alt_or" storage-2="alt_or" type="output pin">\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="39B54EB8" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2016/05/20 23:53:20  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="1" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="6AEC4EB8" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2016/05/27 19:48:49  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'dbg_addr[0]' == low &amp;&amp; 'dbg_addr[10]' == low &amp;&amp; 'dbg_addr[11]' == low &amp;&amp; 'dbg_addr[12]' == low &amp;&amp; 'dbg_addr[13]' == low &amp;&amp; 'dbg_addr[14]' == high &amp;&amp; 'dbg_addr[15]' == high &amp;&amp; 'dbg_addr[1]' == high &amp;&amp; 'dbg_addr[2]' == high &amp;&amp; 'dbg_addr[3]' == low &amp;&amp; 'dbg_addr[4]' == low &amp;&amp; 'dbg_addr[5]' == high &amp;&amp; 'dbg_addr[6]' == high &amp;&amp; 'dbg_addr[7]' == high &amp;&amp; 'dbg_addr[8]' == high &amp;&amp; 'dbg_addr[9]' == low\r
+          <level enabled="yes" name="condition1" type="basic">'dbg_addr[0]' == low &amp;&amp; 'dbg_addr[10]' == low &amp;&amp; 'dbg_addr[11]' == low &amp;&amp; 'dbg_addr[12]' == low &amp;&amp; 'dbg_addr[13]' == low &amp;&amp; 'dbg_addr[14]' == high &amp;&amp; 'dbg_addr[15]' == high &amp;&amp; 'dbg_addr[1]' == low &amp;&amp; 'dbg_addr[2]' == low &amp;&amp; 'dbg_addr[3]' == low &amp;&amp; 'dbg_addr[4]' == low &amp;&amp; 'dbg_addr[5]' == high &amp;&amp; 'dbg_addr[6]' == high &amp;&amp; 'dbg_addr[7]' == high &amp;&amp; 'dbg_addr[8]' == high &amp;&amp; 'dbg_addr[9]' == low\r
             <power_up enabled="yes">\r
-            </power_up>\r
-            <op_node/>\r
+            </power_up><op_node/>\r
           </level>\r
         </events>\r
         <storage_qualifier_events>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: Trig @ 2016/05/20 23:56:25 (0:3:4.5 elapsed)" power_up_mode="false" sample_depth="127" trigger_position="-1">000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011100011110010100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111111000011100011110000000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000000000001000010000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011111101110010010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011010110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011010110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011010110000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110010100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111000010000000000001000010000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011010110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011000010000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011010111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101111011000011000011010011110000111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011111101110010010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110010100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000000000001000010000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000011000011100011110000000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000001111111111111101000011000010000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011100011110000000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111111111111111111101111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000000000001000010000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000010111111111111111101000011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000010111111111111111101000011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000010111111111111111101000011111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000010111111111111111101000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011111101110010010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011010111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011010111000011100011110000000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011010110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000000000001000010000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111011111111111111101110011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000010000011010011110000001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011010111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000110011111111111111101000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000011111111111111101000011</data>\r
-          <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
+          <data global_temp="1" name="log: 2016/05/27 20:09:44  #0" power_up_mode="false" sample_depth="8192" trigger_position="1024">000010000011001100010110110001010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001100010110100000010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001100010010100000010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001100010110100000001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101100010100000100001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101100010000000100001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101100010100000100011000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000100010000000100000000011000010110001100000010000000001010100010000000001111000000000000000000000000001000000000000010111111111111111000011000011000100010000000000000000011000010110001100000010000000001010100010000000001111000000000000000000000000001000000000000010111111111111111000011000011000100010000000100000000000000010110001100000010000000001010100010000000001111000000000000000000000000001000000000000010111111111111111000011000011000011101100010110100101000000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101100010010100101000000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101100010110100101010000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011100010101000010010000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011100010001000010010000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011100010101000010001000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000000100001000100000000001000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000000100001000000000000001000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000000100001000100000000000000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011100010110110001000000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011100010010110001000000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011100010110110001010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111100010110100000010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111100010010100000010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111100010110100000001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111100010100000100001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111100010000000100001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111100010100000100011000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000100010000000100000000011000010110001100000010000000001010100010000000001111000000000000000000000000001000000000000010111111111111111000011000011000100010000000000000000011000010110001100000010000000001010100010000000001111000000000000000000000000001000000000000010111111111111111000011000011000100010000000100000000000000010110001100000010000000001010100010000000001111000000000000000000000000001000000000000010111111111111111000011000010000011000010010110100101000000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000010010010100101000000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000010010110100101010000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000010010111100010010000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000010010011100010010000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000010010111100010001000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000000110001000100000000001000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000000110001000000000000001000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000000110001000100000000000000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100010010110100100000000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100010010010100100000000010100101100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100010010110100100010000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010010000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010001100010010000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010001000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000000110001000100000000001000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000000110001000000000000001000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000000110001000100000000000000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010010010110010100000000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010010010010010100000000010100100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010010010110010100010000010010100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010010010110000000010000010010100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010010010010000000010000010010100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010010010110000000000000010010100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110010010101010000000000010010100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110010010001010000000000010010100100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110010010101010000010000001010000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110010010110100000000000001010000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110010010010100000000000001010000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110010010110100000010000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001010010111000010010000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001010010011000010010000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001010010111000010001000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000000100001000100010000001000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000000100001000000010000001000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000000100001000100010000000000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001010010110110001000000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001010010010110001000000010100000100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001010010110110001010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101010010100000000010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101010010000000000010000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101010010100000000001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101010010100000100001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101010010000000100001000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101010010100000100011000010110001100000010000000011010100010000000001111000000000000000000000000001100000000000000111111111111111000011000010000100000000000100010000011000010110001100000010000000001000100000000000001111000000000000000000000000001000000000000000111111111111111000011000010000100000000000000010000011000010110001110000010000000001000100000000000001111000000000000000000000000001000000000000000111111111111111000011000010000100000000000100010000000000010110001110000010000000001000100000000000001111000000000000000000000000001000000000000000111111111111111000011000010000011011010010110010101000000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011011010010010010101000000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011011010010110010101010000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011011010010110000000010000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011011010010010000000010000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011011010010110000000000000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011111010010110110001000000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011111010010010110001000000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011111010010110110001010000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111010010101101000010000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111010010001101000010000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111010010101101000001000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000110010100000010001000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000110010000000010001000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000110010100000010011000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000110000000011000010110001110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000010110100000010000000011000010110001110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000010110100000110000000000000010110001110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000110010110010101000000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000110010010010101000000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000110010110010101010000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100110010100000000010000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100110010000000000010000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100110010100000000000000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100110010110110001000000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100110010010110001000000010010101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100110010110110001010000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010110010101101000010000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010110010001101000010000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010110010101101000001000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010110010100000010001000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010110010000000010001000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010110010100000010011000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000100000000011000010110001110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000010110100000000000000011000010110001110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000010110100000100000000000000010110001110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011110110010101000101000000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110110010001000101000000010110001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110110010101000101010000001000101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110110010100010000010000001000101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110110010000010000010000001000101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110110010100010000000000001000101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000001000101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000001000101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000000111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000000111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000000111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101001000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100010010101100010000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010010110101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001110010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010001101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001110010101101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101110010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101110010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011110010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011110010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011111110010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011111110010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010010110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011000001010110110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000000011001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000000011001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000011000011000001010110110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010010110101000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011000001010110110101010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010011101000010000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011100001010111101000001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010000000010001000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100001010100000010011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000011111111011000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000010110100000111111111000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010010010100000000010110101110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010001010110010100010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010011000000010000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011010001010111000000000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010010010011000000010010100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011110001010110010011010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010010000000010000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110001010110000000000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010001100110000000010010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001001010101100110010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010001110010010000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001001010101110010001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111001000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000011111111011000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000000111001000111111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000011111111000100001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000000111001000111111111000000001100110110000010000000011000100000000000001111000000000000000000000000001000000000000010111111111111111000011000010000011101001010101010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010001010011000000001100110110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011101001010101010011010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111011100110010000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010111111111111111111100110000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010000001011000000001010011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011101001010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010011010111010000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011011001010111010111000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011001010101100101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011001010001100101000000000001011110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011011001010101100101010000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011111001010110110010010000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011111001010010110010010000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011111001010110110010001000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000000011001000111111111001000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000000011001000011111111001000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000000011001000111111111000000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011111001010110100101000000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011111001010010100101000000001100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011111001010110100101010000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011000101010101100010010000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011000101010001100010010000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011000101010101100010001000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000110001000100000000001000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000110001000000000000001000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000000110001000100000000000000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011000101010110100100000000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011000101010010100100000000010100101110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000011000101010110100100010000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011100101010111100010010000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011100101010011100010010000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000010000011100101010111100010001000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000000110001000100000000001000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000000110001000000000000001000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000000111111111111111000011000011000000110001000100000000000000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100101010100001111000000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100101010000001111000000010100100110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011100101010100001111010000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010101010101000000010000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010101010001000000010000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011010101010101000000001000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110101010101100001001000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110101010001100001001000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011001101010101100111000000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110101010101100001000000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110101010001100001000000000001111110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000011000011110101010101100001010000001100001110000010000000011000100000000000001111000000000000000000000000001100000000000010111111111111111000011000010000011001101010111110010010000001100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011001101010011110010010000001100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011001101010111110010001000001100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000111001000111111111001000001100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000000111001000011111111001000001100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000000111001000111111111000000001100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000011001101010101100111000000001100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011001101010001100111000000001100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011001101010101100111010000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011101101010111010010010000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011101101010011010010010000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011101101010111010010001000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000110100000001000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000010100000001000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000110100000011000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000110100000011000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000010100000011000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000110100000000100001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101001000101100000000100001100111110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000000101001000001100000000100001100111110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000000101001000101100000000000001100111110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000011101101010110100101000000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011101101010010100101000000001100111110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011101101010110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011011101010100010010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011011101010000010010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011011101010100010010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000001001000111111111001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000001001000011111111001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000001001000111111111000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011011101010100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011011101010000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011011101010100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101010111000000010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101010011000000010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101010111000000001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011010100010110001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011010000010110001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011010101010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011010100010110000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011010000010110000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011010100010110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111011100110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000001111110110000011001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000001111110010000011001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000001111110110000011011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000101111110100001000011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000101111110000001000011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000101111110100001000000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011010100010101000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011010000010101000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011010100010101010000000010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110010000000010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111011100110010000000010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110000000000010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011010100010110000000000010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011010000010110000000000010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011010100010110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111011100110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000101111110100001000001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000101111110000001000001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000101111110100001000011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101111110101001000011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101111110001001000011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101111110101001000000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011010101010101000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011010001010101000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011010101010101010000001010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110010000001010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111011100110010000001010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110000000001010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011110011010100010110000000001010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011110011010000010110000000001010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011110011010100010110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111011100110010000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101111110101001000001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101111110001001000001000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000101111110101001000011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000011111110101010001011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000011111110001010001011000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000011111110101010001000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011110011010100000010000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011110011010000000010000000000010110110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011110011010100000010010000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000011111110101010001010000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000011111110001010001010000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000011111110101010001001000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000011111110110100101001000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000011111110010100101001000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000011111110110100101011000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000111111110100111011011000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000111111110000111011011000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000111111110100111011000100000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000111111110110000011000100000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000111111110010000011000100000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000111111110110000011010100000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110010100000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111011100110010100000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010111111111111111111100110000000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011011101110110100001000000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011011101110010100001000000000000010110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011011101110110100001010000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011011101110111000010010000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011011101110011000010010000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011011101110111000010001000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000000100001000101010001001000010100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000000100001000001010001001000010100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000011000000100001000101010001000000010100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000010000011111101110110010101000000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110010010101000000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101010000010010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011111101110110000000010000010010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011111101110010000000010000010010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011111101110110000000000000010010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011000011110110100001000000010010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011000011110010100001000000010010101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011000011110110100001010000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011000011110100000010010000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011000011110000000010010000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011000011110100000010001000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000010000000000001000010000000001000010100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000010000000000001000110000000000000010100001110000010000000011000100000000000001111000000000000000000000000000000000000000010111111111111111000011000010000011100011110110100101000000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000010100001110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000000010111111111111111000011111010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111010111111111111111111011111010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111010111111111111111111011111010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111010111111111111111111011111010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111010111111111111111111011111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111010111111111111111111011111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000000100000000111110111111111111111111111111010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111010111111111111111111011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111010011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000000111111111111111000011000010000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000010000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011000011000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000000010111111111111111000011111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110000111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101001000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011111101110110010101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110010100101000000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011100011110110100101010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110000000010010000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011100011110100000010001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000010000000001000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000000000001000110000000000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110000001011000000010100101110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111110000011010011110100001011010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111111111000011010011110100111111010000000001011110000010000000011000100000000000001111000000000000000000000000010100000000111110111111111111111111111</data>\r
+          <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
         </log>\r
       </trigger>\r
     </signal_set>\r
     <position_info>\r
       <single attribute="active tab" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="0"/>\r
-      <single attribute="data vertical scroll position" value="4"/>\r
+      <single attribute="data horizontal scroll position" value="1122"/>\r
+      <single attribute="data vertical scroll position" value="0"/>\r
       <single attribute="setup horizontal scroll position" value="0"/>\r
       <single attribute="setup vertical scroll position" value="3"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
       <single attribute="zoom level numerator" value="2"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
       <single attribute="zoom offset numerator" value="65472"/>\r
+      <multi attribute="timebars" size="6" value="1056,1081,1092,1024,1155,996"/>\r
     </position_info>\r
   </instance>\r
   <mnemonics/>\r
     <single attribute="jtag widget visible" value="1"/>\r
     <single attribute="lock mode" value="0"/>\r
     <single attribute="sof manager visible" value="1"/>\r
-    <multi attribute="frame size" size="2" value="1600,838"/>\r
+    <multi attribute="frame size" size="2" value="1646,921"/>\r
     <multi attribute="jtag widget size" size="2" value="334,189"/>\r
   </global_info>\r
 </session>\r
index beb6ff8..20a7e53 100644 (file)
@@ -42,7 +42,7 @@ set_global_assignment -name DEVICE 5CEBA4F23C7
 set_global_assignment -name TOP_LEVEL_ENTITY de0_cv_nes\r
 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.0\r
 set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:11:02  MAY 18, 2016"\r
-set_global_assignment -name LAST_QUARTUS_VERSION 14.0\r
+set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"\r
 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files\r
 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0\r
 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85\r
@@ -543,59 +543,26 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to r[3] -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to rst_n -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to v_sync_n -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=AUTO" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=147" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=147" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_BITS=147" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=462" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=128" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INCREMENTAL_ROUTING=1" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[0] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[1] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[2] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[3] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[4] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[5] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[6] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[7] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[8] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[9] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[10] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[11] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[12] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[13] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[14] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[15] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[16] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[17] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[18] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[19] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[20] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[21] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[22] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[23] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[24] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[25] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[26] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[27] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[28] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[29] -to auto_signaltap_0|vcc -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[30] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT crc[31] -to auto_signaltap_0|gnd -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=128" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_FILE "db/de0-cv-analyze-all_auto_stripped.stp"\r
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=20152" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=468" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=27372" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0\r
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top\r
+set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-analyze-all_auto_stripped.stp"
\ No newline at end of file