OSDN Git Service

dma & sprite test updated, some of the dma address do't work, sometimes it works...
authorastoria-d <astoria-d@mail.goo.ne.jp>
Mon, 2 May 2016 07:13:56 +0000 (16:13 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Mon, 2 May 2016 07:13:56 +0000 (16:13 +0900)
de1_nes/sample1-prg.hex
de1_nes/simulation/modelsim/rom-file.nes
tools/regression-test/.gitignore
tools/regression-test/regression.asm

index 1cbb8b6..88bd075 100644 (file)
-:2000000078A2FF9A20898B203F8BADB78B8500ADB88B850120DF8A20DF8A20DF8A20DF8A01\r
-:2000200020DF8A20DF8A20009420258920ED87206F87200687200F85200E8120FE84ADD251\r
-:200040008B8500ADD38B850120DF8A4C6580AD908CD005A900200000ADE68B8500ADE78B1C\r
-:20006000850120DF8AA9238D0620A9C18D0620A9D88D0720A9008D0520A9008D0520A93C05\r
-:200080008D0603A91E8D01208D0503A9808D00208D04034C9380209D8020F38040CE060310\r
-:2000A000D050AD050329E78D01208D0503A93C8D0603AD0703F008CE0703A9314CC480EEBE\r
-:2000C0000703A932AE00038E0620AE01038E0620A2338E0720AE00038E0620EE0103AE01E0\r
-:2000E000038E06208D0720AD050309188D01208D050360A9008D05208D0203AE0303E8E0B3\r
-:20010000F0D002A200A2008E05208E030360AD098C8500AD0A8C850120DF8A08A9004828F8\r
-:20012000A9EA086829EFC9A0F003204E80A9004828A900086829EFC922F003204E80A9009B\r
-:200140004828A2A4086829EFC9A0F003204E80A9004828A200086829EFC922F003204E8008\r
-:20016000A9004828A02B086829EFC920F003204E80A9004828A0BB086829EFC9A0F00320D1\r
-:200180004E80A9004828A000086829EFC922F003204E80A9FBA9C348288D0105086829EFE9\r
-:2001A000C9E3F003204E80A2FBA9C348288E0F05086829EFC9E3F003204E80A000A9C3482E\r
-:2001C000288C1005086829EFC9E3F003204E80A9C34828AA086829EFC9E1F003204E80A9FF\r
-:2001E000004828AA086829EFC922F003204E80A9C34828A8086829EFC9E1F003204E80C03A\r
-:20020000C3F003204E80A9004828A8086829EFC922F003204E80C000F003204E80A9C348D0\r
-:2002200028BA086829EFC9E1F003204E80BA8AA8A900AA9AA9C34828BA086829EFC963F0B6\r
-:2002400003204E80E000F003204E8098AA9AA259A9C348288A086829EFC961F003204E801C\r
-:20026000A2ACA9C348288A086829EFC9E1F003204E80A200A9C348288A086829EFC963F00B\r
-:2002800003204E80BA8AA8A200A9C348289A086829EFC963F000A29AA9C348289A0868297A\r
-:2002A000EFC9E1F00098AA9AA000A9C3482898086829EFC963F003204E80A0B5A9C34828FF\r
-:2002C00098086829EFC9E1F003204E80A9C34828A0C0845018A930655008AA6829EFC9A022\r
-:2002E000F003204E80E0F0F003204E80A9C34828A0EE8C500538A9AD6D500508AA6829EF9F\r
-:20030000C9A1F003204E80E09CF003204E80A9C34828A0EE8C510538A9116D500508AA681B\r
-:2003200029EFC923F003204E80E000F003204E80A9C34828A04B8C520538A9646D5205085C\r
-:20034000AA6829EFC9E0F003204E80E0B0F003204E80A9C34828A08E84E4A9B3A23035B4EF\r
-:2003600008AA6829EFC9E1F003204E80E082F003204E80A9C34828A07E8CE404A981A23013\r
-:200380003DB40408AA6829EFC963F003204E80E000F003204E80A9C34828A9B30A08AA680C\r
-:2003A00029EFC961F003204E80E066F003204E80A9C34828A961857BA2CE16AD086829EF52\r
-:2003C000C9E0F003204E80B4ADC0C2F003204E80A9C34828A98085E506E5086829EFC963C1\r
-:2003E000F003204E80A4E5C000F003204E80A9C34828A90085E5A90124E5086829EFC923DC\r
-:20040000F003204E80A9C34828A94A8D4004A9012C4004086829EFC963F003204E80A9C340\r
-:200420004828A9918DE504A9E5A0F2D9F303086829EFC961F003204E80A9C34828A2E58E29\r
-:20044000E504A9E5A0F2D9F303086829EFC963F003204E80A9C34828A27E8ED705A9E585B6\r
-:2004600010A9048511A0F2A945D110086829EFC9E0F003204E80BA8650A2D9A9C348289A35\r
-:20048000086829EFC9E1F000A200A9C348289A086829EFC963F000A6509AA000A90BA9C32E\r
-:2004A000482898086829EFC963F003204E8098C900F003204E80A0B0A900A9C348289808E8\r
-:2004C0006829EFC9E1F003204E8098C9B0F003204E80A9768572A9058573A9918D7605A27F\r
-:2004E000A3A9C34828A99961CF08AA6829EFC961F003204E80E02BF003204E802860203703\r
-:200500008BAD1A8C8500AD1B8C850120DF8A60AD2D8C8500AD2E8C850120DF8A1890032089\r
-:200520004E8038B003204E80A900F003204E8018E9053003204E80D003204E80186906100B\r
-:2005400003204E8038A992E9467003204E8038A992E9125003204E80A900A200F07B4CD91D\r
-:2005600085EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAA0\r
-:20058000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA1B\r
-:2005A000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAFB\r
-:2005C000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE8E001F080A9005F\r
-:2005E000A200F07B4C5F86EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE3\r
-:20060000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA9A\r
-:20062000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA7A\r
-:20064000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAE85C\r
-:20066000E001F08018A964207186C9C8F00E204E80207586602079866069646038A9C84C54\r
-:200680008C8669324C91864C828669324C87866932C9FBF003204E8038A9C36C9E86B08658\r
-:2006A000A686AB86B5866CA28669E669E66CA4866CA08669E6C9AAF003204E80A92348A9E8\r
-:2006C0004648A96E48380878081808A9FF08A90008A9FF28F003204E80283003204E8028CA\r
-:2006E0009003204E802828B003204E805868C96EF003204E8068C946F003204E8068C92304\r
-:20070000F003204E8060AD3F8C8500AD408C850120DF8AA939856BA9A18DCC04A99F85FFCE\r
-:20072000A2FD066BA56BC972F003204E80CECC04ADCC04C9A0F003204E805ECF03ADCC046B\r
-:20074000C950F003204E80F602A5FFC9A0F003204E801836023602A5FFC981F003204E8062\r
-:200760003876027602A5FFC9E0F003204E8060AD518C8500AD528C850120DF8AA97885A1D3\r
-:20078000A90585A2A9B7A2E1A08A85A98E990A9D800D941F91A1C5A9F003204E80EC990A2B\r
-:2007A000F003204E80CD610EF003204E80C400F003204E80CD0206F003204E80A9F185B011\r
-:2007C000A90585B1A27CA9618134ADF105C961F003204E80A9AA8520A9048521A0EC8CAA9D\r
-:2007E00004A21BA105C9ECF003204E8060AD638C8500AD648C850120DF8A18A90D69FAC9D5\r
-:2008000007F003204E80A937855EA9C98571A9B6255E2471F003204E80A93B8D2104A9D757\r
-:200820008D1B05A9EB8DCC06AE2104E88A4D1B05A8CCCC06F003204E80A217A0A1A92F8DE0\r
-:200840005903A9909990018A19900138FD4203A8C068F003204E80A2CDA9F18535A9AC85DD\r
-:20086000BCA98D3568A09A592200AAE02DF003204E80A9388590A9088591A9D98D0209A91C\r
-:200880000AA0CA187190C9E3F003204E80A93385C0A90485C1A9D08D3304A26BA92238617C\r
-:2008A00055C9F3F003204E80A93485C1A90485C2A9F58D3404E8A91F2155C915F003204E67\r
-:2008C00080A93585C2A90485C3A0758C3504E8A975C155F003204E80A93685C3A90485C48A\r
-:2008E000A9888D3604E8A9C14155C949F003204E80A93785C4A90485C5A92E8D3704E8A9D5\r
-:20090000910155C9BFF003204E80A93885C5A90485C6A97F8D3804E8A96A18E155C9EAF087\r
-:2009200003204E8060AD758C8500AD768C850120DF8AA980180AF003204E80B003204E80A8\r
-:200940001003204E800AF003204E809003204E801003204E80A9400AD003204E80900320C2\r
-:200960004E803003204E80C980F003204E80A9A50AC94AF003204E800AC994F003204E80CA\r
-:20098000A901084828B003204E80189003204E8028A9400848287003204E80B85003204E98\r
-:2009A0008028A203CAD003204E80E002F003204E801003204E80CAD003204E80E001F0033C\r
-:2009C000204E801003204E80CAF003204E80E000F003204E801003204E80CAD003204E8030\r
-:2009E0003003204E80E0FFF003204E80A2803003204E80CA1003204E80E07FF003204E80C8\r
-:200A0000A05088C04FF003204E8088C04EF003204E80C8C04FF003204E80E8E080F00320E4\r
-:200A20004E80A901184AF003204E80B003204E804AF003204E809003204E80A95A4AC92D6B\r
-:200A4000F003204E80A9A5386AC9D2F003204E806A9003204E80C9E9F003204E80182A2A5F\r
-:200A6000C9A5F003204E80B003204E8008A90048289003204E8038B003204E8078086829FA\r
-:200A800004D003204E805808682904F003204E8028A901AA1003204E80D003204E80E0019C\r
-:200AA000F003204E80CA8A1003204E80F003204E80A901A8C8C898C003F003204E80BA86C1\r
-:200AC00000A2309AA9DD48BAE02FF003204E80A6009AA9EEAD3001C9DDF003204E80602076\r
-:200AE000378BAD00038D0620AD01038D0620A000B1008D0720F004C84CF08A98291FC91F1E\r
-:200B0000F009A9008D0720C84CFB8AAD01038C01036D01038D0103AAAD0003900618690131\r
-:200B20008D0003C923D00F8AC9C0D00AA9208D0003A9008D010360AD908CD002686860208F\r
-:200B4000378BA9008D00208D04038D01208D0503A93F8D0620A9008D0620A200A020BD6927\r
-:200B60008B8D0720E888D0F6600F0010200F0414240F0818280F0C1C2C0F0010200F0616F2\r
-:200B8000260F0818280F0A1A2AAD908CF028A9208D0003A9018D0103A9008D0203A9008D95\r
-:200BA0000303A9008D0403A9008D0503A9008D0603A9008D070360B98B7265677265737396\r
-:200BC000696F6E20746573742073746172742E2E2E00D48B746573742073756363656564FC\r
-:200BE00065642E2E2E00E88B74657374206661696C656421212100F98B616464726573731D\r
-:200C000020746573742E2E2E000B8C73746174757320746573742E2E2E001C8C70707520A8\r
-:200C2000696E737420746573742E2E2E002F8C613520696E737420746573742E2E2E0041B2\r
-:200C40008C613420696E737420746573742E2E2E00538C613320696E737420746573742ECC\r
-:200C60002E2E00658C613220696E737420746573742E2E2E00778C73696E676C65206279CC\r
-:200C8000746520696E737420746573742E2E2E000100000000000000000000000000000032\r
-:200CA000000000000000000000000000000000000000000000000000000000000000000034\r
-:200CC000000000000000000000000000000000000000000000000000000000000000000014\r
-:200CE0000000000000000000000000000000000000000000000000000000000000000000F4\r
-:200D00000000000000000000000000000000000000000000000000000000000000000000D3\r
-:200D20000000000000000000000000000000000000000000000000000000000000000000B3\r
-:200D4000000000000000000000000000000000000000000000000000000000000000000093\r
-:200D6000000000000000000000000000000000000000000000000000000000000000000073\r
-:200D8000000000000000000000000000000000000000000000000000000000000000000053\r
-:200DA000000000000000000000000000000000000000000000000000000000000000000033\r
-:200DC000000000000000000000000000000000000000000000000000000000000000000013\r
-:200DE0000000000000000000000000000000000000000000000000000000000000000000F3\r
-:200E00000000000000000000000000000000000000000000000000000000000000000000D2\r
-:200E20000000000000000000000000000000000000000000000000000000000000000000B2\r
-:200E4000000000000000000000000000000000000000000000000000000000000000000092\r
-:200E6000000000000000000000000000000000000000000000000000000000000000000072\r
-:200E8000000000000000000000000000000000000000000000000000000000000000000052\r
-:200EA000000000000000000000000000000000000000000000000000000000000000000032\r
-:200EC000000000000000000000000000000000000000000000000000000000000000000012\r
-:200EE0000000000000000000000000000000000000000000000000000000000000000000F2\r
-:200F00000000000000000000000000000000000000000000000000000000000000000000D1\r
-:200F20000000000000000000000000000000000000000000000000000000000000000000B1\r
-:200F4000000000000000000000000000000000000000000000000000000000000000000091\r
-:200F6000000000000000000000000000000000000000000000000000000000000000000071\r
-:200F8000000000000000000000000000000000000000000000000000000000000000000051\r
-:200FA000000000000000000000000000000000000000000000000000000000000000000031\r
+:2000000078A2FF9A20588E200E8EAD868E8500AD878E850120AE8D20AE8D20AE8D20AE8D71\r
+:2000200020AE8D20AE8D20009420F48B20BC8A203E8A20D58920DE8720EE83202C812043A5\r
+:2000400081201883ADA18E8500ADA28E850120AE8D4C6B80AD3D9FD005A900200000ADB585\r
+:200060008E8500ADB68E850120AE8DA9238D0620A9C18D0620A9D88D0720A9008D0520A9C6\r
+:20008000008D0520A93C8D0603A91E8D01208D0503A9808D00208D04034C998020068EADF9\r
+:2000A000168F8500AD178F850120AE8DA9088D0320A9148D0420A94D8D0420A9038D04200F\r
+:2000C000A9648D04206020068EAD278F8500AD288F850120AE8DA9508D0C04A9648D0D04E0\r
+:2000E000A9038D0E04A9648D0F04A95A8D1004A9648D1104A9038D1204A9328D1304A964DA\r
+:200100008D2004A9658D2104A9038D2204A9C88D2304A91E8D3004A9448D3104A9038D3248\r
+:2001200004A9C88D3304A9048D14406020068EAD458F8500AD468F850120AE8D209C80201F\r
+:20014000C68060A212A0E5A9558D7804A9C3187D6604C918F003205480A9558D4B05A9F111\r
+:2001600018796604C946F003205480A9558D1C05A91C8566A9058567A9C338E154C96EF098\r
+:2001800003205480A9558D2204A922850AA904850BA9C301F8C9D7F003205480A9558D9E0B\r
+:2001A00005A98C8554A9058555A012A9C33154C941F003205480A9668D7106A98C8554A946\r
+:2001C000058555A0E5A9C35154C9A5F003205480A9159D5503CD6703F003205480A96799DB\r
+:2001E0005503EC3A04D003205480CD3A04F003205480A9FF85A2A90485A3A9888190ADFF32\r
+:2002000004C9E5D003205480C988F003205480A9FF85FFA9058500A9D181EDADFF05C9E587\r
+:20022000D003205480C9D1F003205480A9F185FFA9058500A003A9A591FFADF405C9A5F0A0\r
+:2002400003205480A9FF85FFA9068500A012A9DD91FFAD1107C9DDF003205480A9558D782A\r
+:2002600004387E6604AD7804C9AAF003205480A2E5A9A58D7604383E9103AD7604C94BF0C6\r
+:20028000032054804C0183A902C903D07A00000000000000000000000000000000000000D6\r
+:2002A00000000000000000000000000000000000000000000000000000000000000000003E\r
+:2002C00000000000000000000000000000000000000000000000000000000000000000001E\r
+:2002E0000000000000000000000000000000000000000000000000000000000000000000FE\r
+:2003000000A901C901F08020068EADE98E8500ADEA8E850120AE8D60A000A2418600A2008B\r
+:200320008A990004C8A500C95BD004A9418500E600990004C8A901990004C88A6903AA2A9E\r
+:20034000990004C8D0DAA9048D144020068EAD088F8500AD098F850120AE8D60A000B900A4\r
+:2003600004186901990004C8C8C8C8D0F1A9048D144060207D8320D383205C8340CE0603DF\r
+:20038000D050AD050329E78D01208D0503A93C8D0603AD0703F008CE0703A9314CA483EEF8\r
+:2003A0000703A932AE00038E0620AE01038E0620A2338E0720AE00038E0620EE0103AE01FD\r
+:2003C000038E06208D0720AD050309188D01208D050360A9008D05208D0203AE0303E8E0D0\r
+:2003E000F0D002A200A2008E05208E030360ADD88E8500ADD98E850120AE8D08A9004828A2\r
+:20040000A9EA086829EFC9A0F003205480A9004828A900086829EFC922F003205480A900AC\r
+:200420004828A2A4086829EFC9A0F003205480A9004828A200086829EFC922F00320548019\r
+:20044000A9004828A02B086829EFC920F003205480A9004828A0BB086829EFC9A0F00320E8\r
+:200460005480A9004828A000086829EFC922F003205480A9FBA9C348288D0105086829EFFA\r
+:20048000C9E3F003205480A2FBA9C348288E0F05086829EFC9E3F003205480A000A9C3483F\r
+:2004A000288C1005086829EFC9E3F003205480A9C34828AA086829EFC9E1F003205480A910\r
+:2004C000004828AA086829EFC922F003205480A9C34828A8086829EFC9E1F003205480C04B\r
+:2004E000C3F003205480A9004828A8086829EFC922F003205480C000F003205480A9C348DC\r
+:2005000028BA086829EFC9E1F003205480BA8AA8A900AA9AA9C34828BA086829EFC963F0CD\r
+:2005200003205480E000F00320548098AA9AA259A9C348288A086829EFC961F00320548027\r
+:20054000A2ACA9C348288A086829EFC9E1F003205480A200A9C348288A086829EFC963F022\r
+:2005600003205480BA8AA8A200A9C348289A086829EFC963F000A29AA9C348289A08682991\r
+:20058000EFC9E1F00098AA9AA000A9C3482898086829EFC963F003205480A0B5A9C3482816\r
+:2005A00098086829EFC9E1F003205480A9C34828A0C0845018A930655008AA6829EFC9A039\r
+:2005C000F003205480E0F0F003205480A9C34828A0EE8C500538A9AD6D500508AA6829EFB0\r
+:2005E000C9A1F003205480E09CF003205480A9C34828A0EE8C510538A9116D500508AA682D\r
+:2006000029EFC923F003205480E000F003205480A9C34828A04B8C520538A9646D5205086D\r
+:20062000AA6829EFC9E0F003205480E0B0F003205480A9C34828A08E84E4A9B3A23035B400\r
+:2006400008AA6829EFC9E1F003205480E082F003205480A9C34828A07E8CE404A981A23024\r
+:200660003DB40408AA6829EFC963F003205480E000F003205480A9C34828A9B30A08AA681D\r
+:2006800029EFC961F003205480E066F003205480A9C34828A961857BA2CE16AD086829EF63\r
+:2006A000C9E0F003205480B4ADC0C2F003205480A9C34828A98085E506E5086829EFC963D2\r
+:2006C000F003205480A4E5C000F003205480A9C34828A90085E5A90124E5086829EFC923ED\r
+:2006E000F003205480A9C34828A94A8D4004A9012C4004086829EFC963F003205480A9C352\r
+:200700004828A9918DE504A9E5A0F2D9F303086829EFC961F003205480A9C34828A2E58E40\r
+:20072000E504A9E5A0F2D9F303086829EFC963F003205480A9C34828A27E8ED705A9E585CD\r
+:2007400010A9048511A0F2A945D110086829EFC9E0F003205480BA8650A2D9A9C348289A4C\r
+:20076000086829EFC9E1F000A200A9C348289A086829EFC963F000A6509AA000A90BA9C34B\r
+:20078000482898086829EFC963F00320548098C900F003205480A0B0A900A9C348289808F9\r
+:2007A0006829EFC9E1F00320548098C9B0F003205480A9768572A9058573A9918D7605A290\r
+:2007C000A3A9C34828A99961CF08AA6829EFC961F003205480E02BF0032054802860AD5866\r
+:2007E0008F8500AD598F850120AE8D18900320548038B003205480A900F00320548018E960\r
+:20080000053003205480D003205480186906100320548038A992E946700320548038A992DB\r
+:20082000E9125003205480A900A200F07B4CA888EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAA4\r
+:20084000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA58\r
+:20086000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA38\r
+:20088000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA18\r
+:2008A000EAEAEAEAEAEAEAEAE8E001F080A900A200F07B4C2E89EAEAEAEAEAEAEAEAEAEAD2\r
+:2008C000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAD8\r
+:2008E000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAB8\r
+:20090000EAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEAEA97\r
+:20092000EAEAEAEAEAEAEAEAEAEAEAEAEAEAE8E001F08018A964204089C9C8F00E20548021\r
+:20094000204489602048896069646038A9C84C5B8969324C60894C518969324C568969329C\r
+:20096000C9FBF00320548038A9C36C6D897F8975897A8984896C718969E669E66C73896CD8\r
+:200980006F8969E6C9AAF003205480A92348A94648A96E48380878081808A9FF08A90008CB\r
+:2009A000A9FF28F0032054802830032054802890032054802828B0032054805868C96EF0A4\r
+:2009C0000320548068C946F00320548068C923F00320548060AD6A8F8500AD6B8F850120AF\r
+:2009E000AE8DA939856BA9A18DCC04A99F85FFA2FD066BA56BC972F003205480CECC04ADEA\r
+:200A0000CC04C9A0F0032054805ECF03ADCC04C950F003205480F602A5FFC9A0F00320549D\r
+:200A2000801836023602A5FFC981F0032054803876027602A5FFC9E0F00320548060AD7CF4\r
+:200A40008F8500AD7D8F850120AE8DA97885A1A90585A2A9B7A2E1A08A85A98E990A9D8043\r
+:200A60000D941F91A1C5A9F003205480EC990AF003205480CD610EF003205480C400F003DF\r
+:200A8000205480CD0206F003205480A9F185B0A90585B1A27CA9618134ADF105C961F00356\r
+:200AA000205480A9AA8520A9048521A0EC8CAA04A21BA105C9ECF00320548060AD8E8F8583\r
+:200AC00000AD8F8F850120AE8D18A90D69FAC907F003205480A937855EA9C98571A9B625D3\r
+:200AE0005E2471F003205480A93B8D2104A9D78D1B05A9EB8DCC06AE2104E88A4D1B05A80C\r
+:200B0000CCCC06F003205480A217A0A1A92F8D5903A9909990018A19900138FD4203A8C01C\r
+:200B200068F003205480A2CDA9F18535A9AC85BCA98D3568A09A592200AAE02DF003205467\r
+:200B400080A9388590A9088591A9D98D0209A90AA0CA187190C9E3F003205480A93385C054\r
+:200B6000A90485C1A9D08D3304A26BA922386155C9F3F003205480A93485C1A90485C2A91C\r
+:200B8000F58D3404E8A91F2155C915F003205480A93585C2A90485C3A0758C3504E8A975B6\r
+:200BA000C155F003205480A93685C3A90485C4A9888D3604E8A9C14155C949F00320548042\r
+:200BC000A93785C4A90485C5A92E8D3704E8A9910155C9BFF003205480A93885C5A9048512\r
+:200BE000C6A97F8D3804E8A96A18E155C9EAF00320548060ADA08F8500ADA18F850120AE69\r
+:200C00008DA980180AF003205480B00320548010032054800AF003205480900320548010DF\r
+:200C200003205480A9400AD00320548090032054803003205480C980F003205480A9A50ACD\r
+:200C4000C94AF0032054800AC994F003205480A901084828B00320548018900320548028BC\r
+:200C6000A9400848287003205480B8500320548028A203CAD003205480E002F00320548086\r
+:200C80001003205480CAD003205480E001F0032054801003205480CAF003205480E000F06C\r
+:200CA000032054801003205480CAD0032054803003205480E0FFF003205480A28030032043\r
+:200CC0005480CA1003205480E07FF003205480A05088C04FF00320548088C04EF0032054BE\r
+:200CE00080C8C04FF003205480E8E080F003205480A901184AF003205480B0032054804AA3\r
+:200D0000F0032054809003205480A95A4AC92DF003205480A9A5386AC9D2F0032054806A5F\r
+:200D20009003205480C9E9F003205480182A2AC9A5F003205480B00320548008A90048280A\r
+:200D4000900320548038B0032054807808682904D0032054805808682904F003205480284D\r
+:200D6000A901AA1003205480D003205480E001F003205480CA8A1003205480F00320548047\r
+:200D8000A901A8C8C898C003F003205480BA8600A2309AA9DD48BAE02FF003205480A6005F\r
+:200DA0009AA9EEAD3001C9DDF0032054806020068EAD00038D0620AD01038D0620A000B16B\r
+:200DC000008D0720F004C84CBF8D98291FC91FF009A9008D0720C84CCA8DAD01038C010341\r
+:200DE0006D01038D0103AAAD000390061869018D0003C923D00F8AC9C0D00AA9208D0003DE\r
+:200E0000A9008D010360AD3D9FD00268686020068EA9008D00208D04038D01208D0503A923\r
+:200E20003F8D0620A9008D0620A200A020BD388E8D0720E888D0F6600F0010200F041424AB\r
+:200E40000F0818280F0C1C2C0F0010200F0616260F0818280F0A1A2AAD3D9FF028A9208D9D\r
+:200E60000003A9018D0103A9008D0203A9008D0303A9008D0403A9008D0503A9008D060303\r
+:200E8000A9008D070360888E72656772657373696F6E20746573742073746172742E2E2EA3\r
+:200EA00000A38E74657374207375636365656465642E2E2E00B78E74657374206661696C31\r
+:200EC000656421212100C88E6164647265737320746573742E2E2E00DA8E73746174757334\r
+:200EE00020746573742E2E2E00EB8E7061676520626F726465722063726F7373696E67202C\r
+:200F0000746573742E2E2E000A8F646D6120746573742E2E2E00188F737072697465207480\r
+:200F20006573742E2E2E00298F73696D706C652073707269746520746573742028646D6188\r
+:200F4000292E2E2E00478F70707520696E737420746573742E2E2E005A8F613520696E7382\r
+:200F60007420746573742E2E2E006C8F613420696E737420746573742E2E2E007E8F61331A\r
+:200F800020696E737420746573742E2E2E00908F613220696E737420746573742E2E2E000F\r
+:200FA000A28F73696E676C65206279746520696E737420746573742E2E2E00000000000062\r
 :200FC000000000000000000000000000000000000000000000000000000000000000000011\r
 :200FE0000000000000000000000000000000000000000000000000000000000000000000F1\r
 :201000000000000000000000000000000000000000000000000000000000000000000000D0\r
 :2017A0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AE9\r
 :2017C0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC9\r
 :2017E0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AA25FEAE87E\r
-:20180000E060F003204E804C119F2A2A2A2A2A2A342A2A2A2A2A2A2A2A2A2A2A2A2A2A2A05\r
+:20180000E060F0032054804C119F2A2A2A2A2A2A342A2A2A2A2A2A2A2A2A2A2A2A2A2A2AFF\r
 :201820002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A68\r
 :201840002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A48\r
 :201860002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A28\r
 :2018A0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AE8\r
 :2018C0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC8\r
 :2018E0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AEAE8\r
-:20190000E8E061F003204E804C149F2A2A2A2A2A352A2A2A2A2A2A2A2A2A2A2A2A2A2A2A41\r
+:20190000E8E061F0032054804C149F2A2A2A2A2A352A2A2A2A2A2A2A2A2A2A2A2A2A2A2A3B\r
 :201920002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A67\r
 :201940002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A47\r
 :201960002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A27\r
 :2019A0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AE7\r
 :2019C0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC7\r
 :2019E0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A38A93BEA49\r
-:201A0000699BC9D7F003204E804C179F2A2A2A2A362A2A2A2A2A2A2A2A2A2A2A2A2A2A2AEB\r
+:201A0000699BC9D7F0032054804C179F2A2A2A2A362A2A2A2A2A2A2A2A2A2A2A2A2A2A2AE5\r
 :201A20002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A66\r
 :201A40002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A46\r
 :201A60002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A26\r
 :201AA0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AE6\r
 :201AC0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC6\r
 :201AE0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A38A977EA092D\r
-:201B0000F0C9F7F003204E804C1A9F2A2A2A2A2A372A2A2A2A2A2A2A2A2A2A2A2A2A2A2AB0\r
+:201B0000F0C9F7F0032054804C1A9F2A2A2A2A2A372A2A2A2A2A2A2A2A2A2A2A2A2A2A2AAA\r
 :201B20002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A65\r
 :201B40002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A45\r
 :201B60002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A25\r
 :201BA0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AE5\r
 :201BC0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC5\r
 :201BE0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A38A9C18D2006A991EAED200611\r
-:201C0000C9D0F003204E804C1D9F2A2A2A2A2A2A382A2A2A2A2A2A2A2A2A2A2A2A2A2A2A98\r
+:201C0000C9D0F0032054804C1D9F2A2A2A2A2A2A382A2A2A2A2A2A2A2A2A2A2A2A2A2A2A92\r
 :201C20002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A64\r
 :201C40002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A44\r
 :201C60002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A24\r
 :201EC0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2AC2\r
 :201EE0002A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2A2C9FEA6C29\r
 :201F0000FC9E4C2F9F4CFE944CFD954CFC964CFC974CFF984CFC994CFB9A4CF49B4CFC9C30\r
-:201F20004C009D4CFD9D4C019E4CFE9E4C029FADF78B8500ADF88B850120DF8A60000000BF\r
+:201F20004C009D4CFD9D4C019E4CFE9E4C029FADC68E8500ADC78E850120AE8D6001000048\r
 :201F4000000000000000000000000000000000000000000000000000000000000000000081\r
 :201F6000000000000000000000000000000000000000000000000000000000000000000061\r
 :201F8000000000000000000000000000000000000000000000000000000000000000000041\r
 :201FA000000000000000000000000000000000000000000000000000000000000000000021\r
 :201FC000000000000000000000000000000000000000000000000000000000000000000001\r
-:201FE00000000000000000000000000000000000000000000000000000009680008000004B\r
+:201FE00000000000000000000000000000000000000000000000000000007383008000006B\r
 :00000001FF\r
index af393e3..a85b105 100644 (file)
Binary files a/de1_nes/simulation/modelsim/rom-file.nes and b/de1_nes/simulation/modelsim/rom-file.nes differ
index fa49941..07bd93a 100644 (file)
@@ -1,3 +1,4 @@
 memo.txt\r
 disas*\r
 *.log\r
+*.*-*k\r
index bc2acc6..8c011ec 100644 (file)
@@ -120,6 +120,105 @@ mainloop:
        jmp     mainloop\r
 \r
 \r
+.proc sprite_test\r
+    jsr check_ppu\r
+    lda ad_sprite_test\r
+    sta $00\r
+    lda ad_sprite_test+1\r
+    sta $01\r
+    jsr print_ln\r
+\r
+\r
+;;set sprite addr=08 (third sprite)\r
+       lda     #$08\r
+       sta     $2003\r
+;;set sprite data: y=20\r
+       lda     #20\r
+       sta     $2004\r
+;;tile=0x4d (ascii 'M')\r
+       lda     #$4d\r
+       sta     $2004\r
+;;set sprite attr=03 (palette 03)\r
+       lda     #$03\r
+       sta     $2004\r
+;;set sprite data: x=100\r
+       lda     #$64\r
+       sta     $2004\r
+\r
+    rts\r
+.endproc\r
+\r
+.proc simple_dma_test\r
+    jsr check_ppu\r
+    lda ad_simple_dma_test\r
+    sta $00\r
+    lda ad_simple_dma_test+1\r
+    sta $01\r
+    jsr print_ln\r
+\r
+;;set sprite addr=0C (forth sprite)\r
+;;set sprite data: y=80\r
+       lda     #80\r
+       sta     $040C\r
+;;tile=0x4d (ascii 'd')\r
+       lda     #$64\r
+       sta     $040D\r
+;;set sprite attr=03 (palette 03)\r
+       lda     #$03\r
+       sta     $040E\r
+;;set sprite data: x=100\r
+       lda     #$64\r
+       sta     $040F\r
+\r
+    ;;more sprite...\r
+       lda     #90\r
+       sta     $0410\r
+       lda     #$64\r
+       sta     $0411\r
+       lda     #$03\r
+       sta     $0412\r
+       lda     #50\r
+       sta     $0413\r
+\r
+       lda     #100\r
+       sta     $0420\r
+       lda     #$65\r
+       sta     $0421\r
+       lda     #$03\r
+       sta     $0422\r
+       lda     #200\r
+       sta     $0423\r
+\r
+       lda     #30\r
+       sta     $0430\r
+       lda     #$44\r
+       sta     $0431\r
+       lda     #$03\r
+       sta     $0432\r
+       lda     #200\r
+       sta     $0433\r
+\r
+    ;;dma start.\r
+    lda #$04\r
+    sta $4014\r
+\r
+    rts\r
+.endproc\r
+\r
+\r
+.proc ppu_test\r
+    jsr check_ppu\r
+    lda ad_ppu_test\r
+    sta $00\r
+    lda ad_ppu_test+1\r
+    sta $01\r
+    jsr print_ln\r
+\r
+    jsr sprite_test\r
+    jsr simple_dma_test\r
+    rts\r
+.endproc\r
+\r
 \r
 .proc pg_border_test\r
     ldx #$12\r
@@ -437,7 +536,7 @@ mainloop:
 dma_set:\r
     ;;y pos\r
     txa\r
-    sta $0200, y\r
+    sta $0400, y\r
     iny\r
     ;;tile index\r
     lda $00\r
@@ -447,23 +546,23 @@ dma_set:
     sta $00\r
 inc_tile:\r
     inc $00\r
-    sta $0200, y\r
+    sta $0400, y\r
     iny\r
     ;;attribute\r
     lda #$01\r
-    sta $0200, y\r
+    sta $0400, y\r
     iny\r
     ;;x pos\r
     txa\r
     adc #$03\r
     tax\r
     rol\r
-    sta $0200, y\r
+    sta $0400, y\r
     iny\r
     bne dma_set\r
 \r
     ;;dma start.\r
-    lda #$02\r
+    lda #$04\r
     sta $4014\r
 \r
     jsr check_ppu\r
@@ -481,11 +580,11 @@ inc_tile:
     ldy #0\r
 \r
 y_loop:\r
-    lda $0200, y\r
+    lda $0400, y\r
 \r
     clc\r
     adc #$1\r
-    sta $0200, y\r
+    sta $0400, y\r
 \r
     iny\r
     iny\r
@@ -495,7 +594,7 @@ y_loop:
     bne y_loop\r
 \r
     ;;dma start.\r
-    lda #$02\r
+    lda #$04\r
     sta $4014\r
 \r
     rts\r
@@ -1640,18 +1739,6 @@ nmi_test:
     rts\r
 .endproc\r
 \r
-.proc ppu_test\r
-    jsr check_ppu\r
-    lda ad_ppu_test\r
-    sta $00\r
-    lda ad_ppu_test+1\r
-    sta $01\r
-    jsr print_ln\r
-\r
-    rts\r
-.endproc\r
-\r
-\r
 ;;a5 instructions:\r
 ;;bcc   brk     php\r
 ;;bcs   bvc     pla\r
@@ -2691,6 +2778,7 @@ nmi_test:
 .endproc\r
 \r
 \r
+;;;read only global datas\r
 \r
 ;;;;string datas\r
 ad_start_msg:\r
@@ -2736,6 +2824,18 @@ ad_dma_test:
     .byte   "dma test..."\r
     .byte   $00\r
 \r
+ad_sprite_test:\r
+    .addr   :+\r
+:\r
+    .byte   "sprite test..."\r
+    .byte   $00\r
+\r
+ad_simple_dma_test:\r
+    .addr   :+\r
+:\r
+    .byte   "simple sprite test (dma)..."\r
+    .byte   $00\r
+\r
 ad_ppu_test:\r
     .addr   :+\r
 :\r
@@ -2772,10 +2872,6 @@ ad_single_test:
     .byte   "single byte inst test..."\r
     .byte   $00\r
 \r
-;;;read only global datas\r
-use_ppu:\r
-    .byte   $01\r
-\r
 \r
 ;;;;address fixed test code..\r
 .segment "SEG_5K"\r
@@ -3114,6 +3210,9 @@ use_ppu:
     rts\r
 .endproc\r
 \r
+;;ppu test flag.\r
+use_ppu:\r
+    .byte   $01\r
 \r
 ;;;;r/w global variables.\r
 .segment "BSS"\r