OSDN Git Service

signal trap ii working...
authorastoria-d <astoria-d@mail.goo.ne.jp>
Fri, 14 Feb 2014 04:26:01 +0000 (13:26 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Fri, 14 Feb 2014 04:26:01 +0000 (13:26 +0900)
tools/qt_proj_test5/qt_proj_test5.qsf
tools/qt_proj_test5/sdram-test.stp
tools/qt_proj_test5/sdram-test_auto_stripped.stp

index 415702d..1dd4196 100644 (file)
@@ -165,7 +165,6 @@ set_global_assignment -name VHDL_FILE clock_divider.vhd
 set_global_assignment -name VHDL_FILE vga.vhd\r
 set_global_assignment -name VHDL_FILE qt_proj_test5.vhd\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to rst_n -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=1" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to green_led -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to red_led -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to rst_n -section_id auto_signaltap_0\r
@@ -260,8 +259,6 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "sdram_rw:sdr_rw|wbm_tga_o[7]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=110" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=0000000000000000000000000000" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=28" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=2048" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=2048" -section_id auto_signaltap_0\r
 set_global_assignment -name SIGNALTAP_FILE "sdram-test.stp"\r
@@ -283,7 +280,22 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -t
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "sdram_rw:sdr_rw|mem_val_o[8]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "sdram_rw:sdr_rw|mem_val_o[9]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=15338" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=11008" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=13" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=0000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=64" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=21007" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=35073" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/tools/qt_proj_test5/sdram-test_auto_stripped.stp"\r
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
index 37ab661..0b796b3 100644 (file)
@@ -4,33 +4,46 @@
   </display_tree>\r
   <global_info>\r
     <single attribute="active instance" value="0"/>\r
-    <multi attribute="frame size" size="2" value="1471,883"/>\r
+    <multi attribute="frame size" size="2" value="1679,883"/>\r
     <single attribute="jtag widget visible" value="1"/>\r
     <multi attribute="jtag widget size" size="2" value="334,270"/>\r
     <single attribute="instance widget visible" value="1"/>\r
     <single attribute="config widget visible" value="1"/>\r
-    <single attribute="hierarchy widget visible" value="1"/>\r
-    <single attribute="data log widget visible" value="1"/>\r
+    <single attribute="hierarchy widget visible" value="0"/>\r
+    <single attribute="data log widget visible" value="0"/>\r
     <single attribute="hierarchy widget height" value="1"/>\r
+    <multi attribute="column width" size="23" value="34,34,210,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>\r
   </global_info>\r
   <instance entity_name="sld_signaltap" is_auto_node="yes" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
     <position_info>\r
-      <single attribute="active tab" value="0"/>\r
+      <single attribute="active tab" value="1"/>\r
       <single attribute="data vertical scroll position" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="255"/>\r
-      <single attribute="zoom level numerator" value="128"/>\r
+      <single attribute="data horizontal scroll position" value="0"/>\r
+      <single attribute="zoom level numerator" value="1"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
-      <single attribute="zoom offset numerator" value="1008"/>\r
+      <single attribute="zoom offset numerator" value="129024"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
     </position_info>\r
-    <signal_set global_temp="1" name="signal_set: 2014/02/14 13:01:49  #0">\r
+    <signal_set global_temp="1" name="signal_set: 2014/02/14 13:17:12  #0">\r
       <clock name="base_clk_24mhz" polarity="posedge" tap_mode="classic"/>\r
       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="2048" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
       <signal_vec>\r
         <trigger_input_vec>\r
           <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_ack" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_done" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[0]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[1]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[2]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[3]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_ack_i" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_cyc_o" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_stall_i" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_stb_o" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_we_i" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|writing" tap_mode="classic"/>\r
         </trigger_input_vec>\r
         <data_input_vec>\r
           <wire name="green_led" tap_mode="classic"/>\r
         <unified_setup_data_view>\r
           <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="0" tap_mode="classic" type="output pin"/>\r
           <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="1" tap_mode="classic" type="output pin"/>\r
-          <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
+          <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
           <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="3" tap_mode="classic" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
             <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" trigger_index="11" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
             <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" trigger_index="8" type="combinatorial"/>\r
+          <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" trigger_index="10" type="combinatorial"/>\r
+          <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" trigger_index="9" type="combinatorial"/>\r
+          <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" trigger_index="7" type="combinatorial"/>\r
+          <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" trigger_index="1" type="combinatorial"/>\r
+          <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" trigger_index="2" type="combinatorial"/>\r
+          <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" trigger_index="12" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
             <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="16" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="15" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="26" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" trigger_index="6" type="combinatorial"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" trigger_index="5" type="combinatorial"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" trigger_index="4" type="combinatorial"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" trigger_index="3" type="combinatorial"/>\r
           </node>\r
         </unified_setup_data_view>\r
         <data_view>\r
           <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="0" tap_mode="classic" type="output pin"/>\r
           <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="1" tap_mode="classic" type="output pin"/>\r
-          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
+          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
           <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="3" tap_mode="classic" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" trigger_index="11" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" trigger_index="8" type="combinatorial"/>\r
+          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" trigger_index="10" type="combinatorial"/>\r
+          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" trigger_index="9" type="combinatorial"/>\r
+          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" trigger_index="7" type="combinatorial"/>\r
+          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" trigger_index="1" type="combinatorial"/>\r
+          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" trigger_index="2" type="combinatorial"/>\r
+          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" trigger_index="12" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="16" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="15" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="26" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" trigger_index="6" type="combinatorial"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" trigger_index="5" type="combinatorial"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" trigger_index="4" type="combinatorial"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" trigger_index="3" type="combinatorial"/>\r
           </bus>\r
         </data_view>\r
         <setup_view>\r
           <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="0" tap_mode="classic" type="output pin"/>\r
           <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="1" tap_mode="classic" type="output pin"/>\r
-          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
+          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
           <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="3" tap_mode="classic" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" trigger_index="11" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" trigger_index="8" type="combinatorial"/>\r
+          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" trigger_index="10" type="combinatorial"/>\r
+          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" trigger_index="9" type="combinatorial"/>\r
+          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" trigger_index="7" type="combinatorial"/>\r
+          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" trigger_index="1" type="combinatorial"/>\r
+          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" trigger_index="2" type="combinatorial"/>\r
+          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" trigger_index="12" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="16" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="15" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="26" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" trigger_index="6" type="combinatorial"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" trigger_index="5" type="combinatorial"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" trigger_index="4" type="combinatorial"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" trigger_index="3" type="combinatorial"/>\r
           </bus>\r
         </setup_view>\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="2B003BEA" attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/02/14 13:01:49  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="64" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger attribute_mem_mode="false" gap_record="true" global_temp="1" name="trigger: 2014/02/14 13:17:12  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="64" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'rst_n' == rising edge\r
+          <level enabled="yes" name="condition1" type="basic">'sdram_rw:sdr_rw|wbm_we_i' == rising edge\r
             <power_up enabled="yes">\r
             </power_up>\r
             <op_node/>\r
           </level>\r
         </events>\r
         <storage_qualifier_events>\r
-          <transitional>00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000\r
-            <pwr_up_transitional>00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000</pwr_up_transitional>\r
+          <transitional>00101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
+            <pwr_up_transitional>00101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
           </transitional>\r
           <storage_qualifier_level type="basic">\r
             <power_up>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: 2014/02/14 13:03:10  #0" power_up_mode="false" sample_depth="2048" trigger_position="256">1100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100000100000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000101101111111001001000010010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011</data>\r
-          <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
+          <data global_temp="1" name="log: 2014/02/14 13:17:12  #2"/>\r
+          <extradata/>\r
         </log>\r
       </trigger>\r
     </signal_set>\r
index 57def3a..7c5d5d5 100644 (file)
@@ -4,13 +4,25 @@
   </display_tree>\r
   <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
-    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/02/14 13:01:49  #0">\r
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2014/02/14 13:17:12  #0">\r
       <clock name="base_clk_24mhz" polarity="posedge" tap_mode="classic"/>\r
       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="2048" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
       <signal_vec>\r
         <trigger_input_vec>\r
           <wire name="rst_n" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_ack" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cmd_done" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[0]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[1]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[2]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|cur_st_o[3]" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_ack_i" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_cyc_o" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_stall_i" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_stb_o" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|wbm_we_i" tap_mode="classic"/>\r
+          <wire name="sdram_rw:sdr_rw|writing" tap_mode="classic"/>\r
         </trigger_input_vec>\r
         <data_input_vec>\r
           <wire name="green_led" tap_mode="classic"/>\r
         <unified_setup_data_view>\r
           <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="0" tap_mode="classic" type="output pin"/>\r
           <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="1" tap_mode="classic" type="output pin"/>\r
-          <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
+          <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
           <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="3" tap_mode="classic" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
             <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" trigger_index="11" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
             <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
-          <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" type="combinatorial"/>\r
-          <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+          <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" trigger_index="8" type="combinatorial"/>\r
+          <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" trigger_index="10" type="combinatorial"/>\r
+          <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" trigger_index="9" type="combinatorial"/>\r
+          <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" trigger_index="7" type="combinatorial"/>\r
+          <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" trigger_index="1" type="combinatorial"/>\r
+          <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" trigger_index="2" type="combinatorial"/>\r
+          <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" trigger_index="12" type="combinatorial"/>\r
           <node name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
             <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="16" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="15" tap_mode="classic" type="combinatorial"/>\r
             <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="26" tap_mode="classic" type="combinatorial"/>\r
           </node>\r
           <node name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" type="combinatorial"/>\r
-            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" type="combinatorial"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" trigger_index="6" type="combinatorial"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" trigger_index="5" type="combinatorial"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" trigger_index="4" type="combinatorial"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" trigger_index="3" type="combinatorial"/>\r
           </node>\r
         </unified_setup_data_view>\r
         <data_view>\r
           <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="0" tap_mode="classic" type="output pin"/>\r
           <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="1" tap_mode="classic" type="output pin"/>\r
-          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
+          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
           <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="3" tap_mode="classic" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" trigger_index="11" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" trigger_index="8" type="combinatorial"/>\r
+          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" trigger_index="10" type="combinatorial"/>\r
+          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" trigger_index="9" type="combinatorial"/>\r
+          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" trigger_index="7" type="combinatorial"/>\r
+          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" trigger_index="1" type="combinatorial"/>\r
+          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" trigger_index="2" type="combinatorial"/>\r
+          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" trigger_index="12" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="16" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="15" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="26" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" trigger_index="6" type="combinatorial"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" trigger_index="5" type="combinatorial"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" trigger_index="4" type="combinatorial"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" trigger_index="3" type="combinatorial"/>\r
           </bus>\r
         </data_view>\r
         <setup_view>\r
           <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="green_led" storage_index="0" tap_mode="classic" type="output pin"/>\r
           <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="red_led" storage_index="1" tap_mode="classic" type="output pin"/>\r
-          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
+          <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="rst_n" storage_index="2" tap_mode="classic" trigger_index="0" type="input pin"/>\r
           <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|clk_i" storage_index="3" tap_mode="classic" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_adr_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_adr_o[21]" storage_index="56" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[1]" storage_index="73" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_dat_i[0]" storage_index="66" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="sdram_rw:sdr_rw|wbm_we_i" storage_index="108" tap_mode="classic" trigger_index="11" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|wbm_tga_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[7]" storage_index="107" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[6]" storage_index="106" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[1]" storage_index="101" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_tga_o[0]" storage_index="100" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
-          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" type="combinatorial"/>\r
-          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" type="combinatorial"/>\r
+          <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_cyc_o" storage_index="65" tap_mode="classic" trigger_index="8" type="combinatorial"/>\r
+          <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stb_o" storage_index="99" tap_mode="classic" trigger_index="10" type="combinatorial"/>\r
+          <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_stall_i" storage_index="98" tap_mode="classic" trigger_index="9" type="combinatorial"/>\r
+          <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|wbm_ack_i" storage_index="42" tap_mode="classic" trigger_index="7" type="combinatorial"/>\r
+          <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_ack" storage_index="4" tap_mode="classic" trigger_index="1" type="combinatorial"/>\r
+          <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cmd_done" storage_index="5" tap_mode="classic" trigger_index="2" type="combinatorial"/>\r
+          <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|writing" storage_index="109" tap_mode="classic" trigger_index="12" type="combinatorial"/>\r
           <bus name="sdram_rw:sdr_rw|mem_val_o" order="msb_to_lsb" type="combinatorial">\r
             <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[15]" storage_index="16" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|mem_val_o[14]" storage_index="15" tap_mode="classic" type="combinatorial"/>\r
             <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|sdram_val_o[0]" storage_index="26" tap_mode="classic" type="combinatorial"/>\r
           </bus>\r
           <bus name="sdram_rw:sdr_rw|cur_st_o" order="msb_to_lsb" type="combinatorial">\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" type="combinatorial"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" type="combinatorial"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[3]" storage_index="9" tap_mode="classic" trigger_index="6" type="combinatorial"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[2]" storage_index="8" tap_mode="classic" trigger_index="5" type="combinatorial"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[1]" storage_index="7" tap_mode="classic" trigger_index="4" type="combinatorial"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" name="sdram_rw:sdr_rw|cur_st_o[0]" storage_index="6" tap_mode="classic" trigger_index="3" type="combinatorial"/>\r
           </bus>\r
         </setup_view>\r
         <trigger_in_editor/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="2B003BEA" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/02/14 13:01:49  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="64" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="8901520F" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2014/02/14 13:17:12  #1" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="64" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="false" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'rst_n' == rising edge\r
+          <level enabled="yes" name="condition1" type="basic">'sdram_rw:sdr_rw|wbm_we_i' == rising edge\r
             <power_up enabled="yes">\r
             </power_up><op_node/>\r
           </level>\r
         </events>\r
         <storage_qualifier_events>\r
-          <transitional>00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000\r
-            <pwr_up_transitional>00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000</pwr_up_transitional>\r
+          <transitional>00101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011\r
+            <pwr_up_transitional>00101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011</pwr_up_transitional>\r
           </transitional>\r
           <storage_qualifier_level type="basic">\r
             <power_up>\r
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: 2014/02/14 13:03:10  #0" power_up_mode="false" sample_depth="2048" trigger_position="256">1100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001101000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011010001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110100010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100011000001010000000000000101100000011111111000000000000000000000001000000000000000001000000111111110101111111000110000010100000000000001011000000111111110000000000000000000000010000000000000000010000001111111101011111110001100000101000000000000010110000001111111100000000000000000000000100000000000000000100000011111111010111111100000100000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000101101111111001001000010010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001100110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110010001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100100011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011001000110010000000000000000000000000000000000000000000000000000001000000000000000000000000000000001111111110110011001100100000000000000000000000000000000000000000000000000000010000000000000000000000000000000011111111101100110011001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111111111011</data>\r
-          <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
+          <data global_temp="1" name="log: 2014/02/14 13:17:12  #2"/>\r
+          <extradata/>\r
         </log>\r
       </trigger>\r
     </signal_set>\r
     <position_info>\r
-      <single attribute="active tab" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="255"/>\r
+      <single attribute="active tab" value="1"/>\r
+      <single attribute="data horizontal scroll position" value="0"/>\r
       <single attribute="data vertical scroll position" value="0"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
-      <single attribute="zoom level numerator" value="128"/>\r
+      <single attribute="zoom level numerator" value="1"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
-      <single attribute="zoom offset numerator" value="1008"/>\r
+      <single attribute="zoom offset numerator" value="129024"/>\r
     </position_info>\r
   </instance>\r
   <mnemonics/>\r
   <global_info>\r
     <single attribute="active instance" value="0"/>\r
     <single attribute="config widget visible" value="1"/>\r
-    <single attribute="data log widget visible" value="1"/>\r
+    <single attribute="data log widget visible" value="0"/>\r
     <single attribute="hierarchy widget height" value="1"/>\r
-    <single attribute="hierarchy widget visible" value="1"/>\r
+    <single attribute="hierarchy widget visible" value="0"/>\r
     <single attribute="instance widget visible" value="1"/>\r
     <single attribute="jtag widget visible" value="1"/>\r
-    <multi attribute="frame size" size="2" value="1471,883"/>\r
+    <multi attribute="column width" size="23" value="34,34,210,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,78"/>\r
+    <multi attribute="frame size" size="2" value="1679,883"/>\r
     <multi attribute="jtag widget size" size="2" value="334,270"/>\r
   </global_info>\r
 </session>\r