index_bus : in std_logic_vector (dsize - 1 downto 0);
bal : in std_logic_vector (dsize - 1 downto 0);
bah : in std_logic_vector (dsize - 1 downto 0);
- int_d_bus : inout std_logic_vector (dsize - 1 downto 0);
+ int_d_bus : in std_logic_vector (dsize - 1 downto 0);
addr_back_l : out std_logic_vector (dsize - 1 downto 0);
addr_back_h : out std_logic_vector (dsize - 1 downto 0);
abl : out std_logic_vector (dsize - 1 downto 0);
index_bus : in std_logic_vector (dsize - 1 downto 0);\r
bal : in std_logic_vector (dsize - 1 downto 0);\r
bah : in std_logic_vector (dsize - 1 downto 0);\r
- int_d_bus : inout std_logic_vector (dsize - 1 downto 0);\r
+ int_d_bus : in std_logic_vector (dsize - 1 downto 0);\r
addr_back_l : out std_logic_vector (dsize - 1 downto 0);\r
addr_back_h : out std_logic_vector (dsize - 1 downto 0);\r
abl : out std_logic_vector (dsize - 1 downto 0);\r
--ppu debug pins
signal dbg_ppu_ce_n : out std_logic;
- signal dbg_ppu_ctrl, dbg_ppu_ctrl_dummy, dbg_ppu_mask, dbg_ppu_status : out std_logic_vector (7 downto 0);
+ signal dbg_ppu_ctrl, dbg_ppu_ctrl_dummy, dbg_ppu_mask, dbg_ppu_mask_dummy, dbg_ppu_status : out std_logic_vector (7 downto 0);
signal dbg_ppu_addr : out std_logic_vector (13 downto 0);
signal dbg_ppu_data, dbg_ppu_scrl_x, dbg_ppu_scrl_y : out std_logic_vector (7 downto 0);
signal dbg_disp_nt, dbg_disp_attr : out std_logic_vector (7 downto 0);
--nes ppu instance
ppu_inst: ppu port map (
dbg_ppu_ce_n ,
- dbg_ppu_ctrl_dummy, dbg_ppu_mask, dbg_ppu_status ,
+ dbg_ppu_ctrl_dummy, dbg_ppu_mask_dummy, dbg_ppu_status ,
dbg_ppu_addr ,
dbg_ppu_data_dummy, dbg_ppu_scrl_x_dummy, dbg_ppu_scrl_y_dummy ,
nmi_n <= dummy_nmi;\r
dbg_ppu_ctrl <= dbg_pcl;\r
dbg_ppu_data <= dbg_idl_l;\r
--- dbg_ppu_mask <= dbg_pch;\r
+ dbg_ppu_mask <= dbg_idl_h;\r
-- dbg_ppu_scrl_x(5) <= rom_ce_n;\r
-- dbg_ppu_scrl_x(6) <= ram_ce_n;\r
\r
#add wave -label wait_a58_branch_next -radix hex sim:/testbench_motones_sim/sim_board/dbg_wait_a58_branch_next \r
\r
\r
+add wave -divider cpu-work\r
add wave -label idl_l -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_data\r
+add wave -label idl_h -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_mask\r
add wave -label acc -radix hex sim:/testbench_motones_sim/sim_board/dbg_acc\r
add wave -label pcl -radix hex sim:/testbench_motones_sim/sim_board/dbg_ppu_ctrl\r
\r