OSDN Git Service

V4.0
[fast-forth/master.git] / MSP430-FORTH / SD_430FR5994 / CORETEST.4TH
1
2 \ ; -------------------------------------
3 \ ; CORETEST.4TH for any FastForth target
4 \ ; -------------------------------------
5
6     MARKER {CORETEST}
7
8     : ABORT_TEST        \ flag --
9     $0D EMIT            \ return to column 1
10     POSTPONE {CORETEST} \ remove all test words
11     ABORT" {CORE_ANS} word set not found !"
12     ;
13
14     [UNDEFINED] {CORE_ANS} ABORT_TEST
15
16     : CORETESTSUCCESS
17     $0D EMIT        \ -- $0D    return to column 1
18     $0A BASE !      \           set decimal
19     {CORETEST}      \           remove all test words
20     ." CORETEST + COREPLUSTEST success!" \ true --
21     ;
22
23     [UNDEFINED] SM/REM
24     [IF]
25 \ https://forth-standard.org/standard/core/SMDivREM
26 \ SM/REM   d1 n1 -- r q   symmetric signed div'n
27     CODE SM/REM
28     MOV R14,R12
29     MOV @R15,R11
30     CMP #0,R14
31     S< IF
32         XOR #-1,R14
33         ADD #1,R14
34     THEN
35     CMP #0,0(R15)
36     S< IF
37         XOR #-1,2(R15)
38         XOR #-1,0(R15)
39         ADD #1,2(R15)
40         ADDC #0,0(R15)
41     THEN
42     PUSHM #3,R13
43     LO2HI
44         UM/MOD
45     HI2LO
46     POPM #3,R13
47     CMP #0,R11
48     S< IF
49         XOR #-1,0(R15)
50         ADD #1,0(R15)
51     THEN
52     XOR R12,R11
53     CMP #0,R11
54     S< IF
55         XOR #-1,R14
56         ADD #1,R14
57     THEN
58     MOV @R13+,R0
59     ENDCODE
60     [THEN]
61
62     [UNDEFINED] FM/MOD
63     [IF]
64 \ https://forth-standard.org/standard/core/FMDivMOD
65 \ FM/MOD   d1 n1 -- r q   floored signed div'n
66     : FM/MOD
67     SM/REM
68     HI2LO                   \ -- remainder quotient       S=divisor
69     CMP #0,0(R15)           \ remainder <> 0 ?
70     0<> IF
71         CMP #1,R14          \ quotient < 1 ?
72         S< IF
73         ADD R12,0(R15)    \ add divisor to remainder
74         SUB #1,R14        \ decrement quotient
75         THEN
76     THEN
77     MOV @R1+,R13
78     MOV @R13+,R0
79     ENDCODE
80     [THEN]
81
82 \ From: John Hayes S1I
83 \ Subject: tester.fr
84 \ Date: Mon, 27 Nov 95 13:10:09 PST
85
86 \ (C) 1995 JOHNS HOPKINS UNIVERSITY / APPLIED PHYSICS LABORATORY
87 \ MAY BE DISTRIBUTED FREELY AS LONG AS THIS COPYRIGHT NOTICE REMAINS.
88 \ VERSION 1.1
89
90 \ 22/1/09 The words { and } have been changed to T{ and }T respectively to
91 \ agree with the Forth 200X file ttester.fs. This avoids clashes with
92 \ locals using { ... } and the FSL use of }
93
94
95 \ 13/05/14 jmt. added colorised error messages.
96
97  0 CONSTANT FALSE
98 -1 CONSTANT TRUE
99
100 \ SET THE FOLLOWING FLAG TO TRUE FOR MORE VERBOSE OUTPUT; THIS MAY
101 \ ALLOW YOU TO TELL WHICH TEST CAUSED YOUR SYSTEM TO HANG.
102 VARIABLE VERBOSE
103     FALSE VERBOSE !
104 \   TRUE VERBOSE !
105
106 : EMPTY-STACK ( ... -- )  \ EMPTY STACK: HANDLES UNDERFLOWED STACK TOO.
107     DEPTH ?DUP
108             IF DUP 0< IF NEGATE 0
109             DO 0 LOOP
110             ELSE 0 DO DROP LOOP THEN
111             THEN ;
112
113 : ERROR     \ ( C-ADDR U -- ) DISPLAY AN ERROR MESSAGE FOLLOWED BY
114         \ THE LINE THAT HAD THE ERROR.
115     TYPE SOURCE TYPE CR          \ DISPLAY LINE CORRESPONDING TO ERROR
116     EMPTY-STACK              \ THROW AWAY EVERY THING ELSE
117     QUIT  \ *** Uncomment this line to QUIT on an error
118 ;
119
120 VARIABLE ACTUAL-DEPTH           \ STACK RECORD
121 CREATE ACTUAL-RESULTS 20 CELLS ALLOT
122
123 : T{        \ ( -- ) SYNTACTIC SUGAR.
124     ;
125
126 : ->        \ ( ... -- ) RECORD DEPTH AND CONTENT OF STACK.
127     DEPTH DUP ACTUAL-DEPTH !     \ RECORD DEPTH
128     ?DUP IF              \ IF THERE IS SOMETHING ON STACK
129         0 DO ACTUAL-RESULTS I CELLS + ! LOOP \ SAVE THEM
130     THEN ;
131
132 : }T        \ ( ... -- ) COMPARE STACK (EXPECTED) CONTENTS WITH SAVED
133             \ (ACTUAL) CONTENTS.
134     DEPTH ACTUAL-DEPTH @ = IF   \ IF DEPTHS MATCH
135         DEPTH ?DUP IF           \ IF THERE IS SOMETHING ON THE STACK
136         0 DO                    \ FOR EACH STACK ITEM
137             ACTUAL-RESULTS I CELLS + @  \ COMPARE ACTUAL WITH EXPECTED
138 \           = 0= IF S" INCORRECT RESULT: " ERROR LEAVE THEN \ jmt
139             = 0= ABORT" INCORRECT RESULT"                   \ jmt : abort with colorised message
140         LOOP
141         THEN
142     ELSE                 \ DEPTH MISMATCH
143 \       S" WRONG NUMBER OF RESULTS: " ERROR     \ jmt
144         TRUE ABORT" WRONG NUMBER OF RESULTS"    \ jmt : abort with colorised message
145     THEN ;
146
147 : TESTING   \ ( -- ) TALKING COMMENT.
148     SOURCE VERBOSE @
149     IF DUP >R TYPE CR R> >IN !
150     ELSE >IN ! DROP [CHAR] * EMIT
151     THEN ;
152
153 HEX
154
155 \ From: John Hayes S1I
156 \ Subject: core.fr
157 \ Date: Mon, 27 Nov 95 13:10
158
159 \ (C) 1995 JOHNS HOPKINS UNIVERSITY / APPLIED PHYSICS LABORATORY
160 \ MAY BE DISTRIBUTED FREELY AS LONG AS THIS COPYRIGHT NOTICE REMAINS.
161 \ VERSION 1.2
162 \ THIS PROGRAM TESTS THE CORE WORDS OF AN ANS FORTH SYSTEM.
163 \ THE PROGRAM ASSUMES A TWO'S COMPLEMENT IMPLEMENTATION WHERE
164 \ THE RANGE OF SIGNED NUMBERS IS -2^(N-1) ... 2^(N-1)-1 AND
165 \ THE RANGE OF UNSIGNED NUMBERS IS 0 ... 2^(N)-1.
166 \ I HAVEN'T FIGURED OUT HOW TO TEST KEY, QUIT, ABORT, OR ABORT"...
167 \ I ALSO HAVEN'T THOUGHT OF A WAY TO TEST ENVIRONMENT?...
168
169 \ ------------------------------------------------------------------------
170 TESTING BASIC ASSUMPTIONS
171
172 T{ -> }T                    \ START WITH CLEAN SLATE
173 ( TEST IF ANY BITS ARE SET; ANSWER IN BASE 1 )
174 T{ : BITSSET? IF 0 0 ELSE 0 THEN ; -> }T
175 T{  0 BITSSET? -> 0 }T      ( ZERO IS ALL BITS CLEAR )
176 T{  1 BITSSET? -> 0 0 }T        ( OTHER NUMBER HAVE AT LEAST ONE BIT )
177 T{ -1 BITSSET? -> 0 0 }T
178
179 \ ------------------------------------------------------------------------
180 TESTING BOOLEANS: INVERT AND OR XOR
181
182 T{ 0 0 AND -> 0 }T
183 T{ 0 1 AND -> 0 }T
184 T{ 1 0 AND -> 0 }T
185 T{ 1 1 AND -> 1 }T
186
187 T{ 0 INVERT 1 AND -> 1 }T
188 T{ 1 INVERT 1 AND -> 0 }T
189
190 0    CONSTANT 0S
191 0 INVERT CONSTANT 1S
192
193 T{ 0S INVERT -> 1S }T
194 T{ 1S INVERT -> 0S }T
195
196 T{ 0S 0S AND -> 0S }T
197 T{ 0S 1S AND -> 0S }T
198 T{ 1S 0S AND -> 0S }T
199 T{ 1S 1S AND -> 1S }T
200
201 T{ 0S 0S OR -> 0S }T
202 T{ 0S 1S OR -> 1S }T
203 T{ 1S 0S OR -> 1S }T
204 T{ 1S 1S OR -> 1S }T
205
206 T{ 0S 0S XOR -> 0S }T
207 T{ 0S 1S XOR -> 1S }T
208 T{ 1S 0S XOR -> 1S }T
209 T{ 1S 1S XOR -> 0S }T
210
211 \ ------------------------------------------------------------------------
212 TESTING 2* 2/ LSHIFT RSHIFT
213
214 ( WE TRUST 1S, INVERT, AND BITSSET?; WE WILL CONFIRM RSHIFT LATER )
215 1S 1 RSHIFT INVERT CONSTANT MSB
216 T{ MSB BITSSET? -> 0 0 }T
217
218 T{ 0S 2* -> 0S }T
219 T{ 1 2* -> 2 }T
220 T{ 4000 2* -> 8000 }T
221 T{ 1S 2* 1 XOR -> 1S }T
222 T{ MSB 2* -> 0S }T
223
224 T{ 0S 2/ -> 0S }T
225 T{ 1 2/ -> 0 }T
226 T{ 4000 2/ -> 2000 }T
227 T{ 1S 2/ -> 1S }T               \ MSB PROPOGATED
228 T{ 1S 1 XOR 2/ -> 1S }T
229 T{ MSB 2/ MSB AND -> MSB }T
230
231 T{ 1 0 LSHIFT -> 1 }T
232 T{ 1 1 LSHIFT -> 2 }T
233 T{ 1 2 LSHIFT -> 4 }T
234 T{ 1 F LSHIFT -> 8000 }T            \ BIGGEST GUARANTEED SHIFT
235 T{ 1S 1 LSHIFT 1 XOR -> 1S }T
236 T{ MSB 1 LSHIFT -> 0 }T
237
238 T{ 1 0 RSHIFT -> 1 }T
239 T{ 1 1 RSHIFT -> 0 }T
240 T{ 2 1 RSHIFT -> 1 }T
241 T{ 4 2 RSHIFT -> 1 }T
242 T{ 8000 F RSHIFT -> 1 }T            \ BIGGEST
243 T{ MSB 1 RSHIFT MSB AND -> 0 }T     \ RSHIFT ZERO FILLS MSBS
244 T{ MSB 1 RSHIFT 2* -> MSB }T
245
246 \ ------------------------------------------------------------------------
247 TESTING COMPARISONS: 0= = 0< < > U< MIN MAX
248 0 INVERT                    CONSTANT MAX-UINT
249 0 INVERT 1 RSHIFT           CONSTANT MAX-INT
250 0 INVERT 1 RSHIFT INVERT    CONSTANT MIN-INT
251 0 INVERT 1 RSHIFT           CONSTANT MID-UINT
252 0 INVERT 1 RSHIFT INVERT    CONSTANT MID-UINT+1
253
254 0S CONSTANT <FALSE>
255 1S CONSTANT <TRUE>
256
257 T{ 0 0= -> <TRUE> }T
258 T{ 1 0= -> <FALSE> }T
259 T{ 2 0= -> <FALSE> }T
260 T{ -1 0= -> <FALSE> }T
261 T{ MAX-UINT 0= -> <FALSE> }T
262 T{ MIN-INT 0= -> <FALSE> }T
263 T{ MAX-INT 0= -> <FALSE> }T
264
265 T{ 0 0 = -> <TRUE> }T
266 T{ 1 1 = -> <TRUE> }T
267 T{ -1 -1 = -> <TRUE> }T
268 T{ 1 0 = -> <FALSE> }T
269 T{ -1 0 = -> <FALSE> }T
270 T{ 0 1 = -> <FALSE> }T
271 T{ 0 -1 = -> <FALSE> }T
272
273 T{ 0 0< -> <FALSE> }T
274 T{ -1 0< -> <TRUE> }T
275 T{ MIN-INT 0< -> <TRUE> }T
276 T{ 1 0< -> <FALSE> }T
277 T{ MAX-INT 0< -> <FALSE> }T
278
279 T{ 0 1 < -> <TRUE> }T
280 T{ 1 2 < -> <TRUE> }T
281 T{ -1 0 < -> <TRUE> }T
282 T{ -1 1 < -> <TRUE> }T
283 T{ MIN-INT 0 < -> <TRUE> }T
284 T{ MIN-INT MAX-INT < -> <TRUE> }T
285 T{ 0 MAX-INT < -> <TRUE> }T
286 T{ 0 0 < -> <FALSE> }T
287 T{ 1 1 < -> <FALSE> }T
288 T{ 1 0 < -> <FALSE> }T
289 T{ 2 1 < -> <FALSE> }T
290 T{ 0 -1 < -> <FALSE> }T
291 T{ 1 -1 < -> <FALSE> }T
292 T{ 0 MIN-INT < -> <FALSE> }T
293 T{ MAX-INT MIN-INT < -> <FALSE> }T
294 T{ MAX-INT 0 < -> <FALSE> }T
295
296 T{ 0 1 > -> <FALSE> }T
297 T{ 1 2 > -> <FALSE> }T
298 T{ -1 0 > -> <FALSE> }T
299 T{ -1 1 > -> <FALSE> }T
300 T{ MIN-INT 0 > -> <FALSE> }T
301 T{ MIN-INT MAX-INT > -> <FALSE> }T
302 T{ 0 MAX-INT > -> <FALSE> }T
303 T{ 0 0 > -> <FALSE> }T
304 T{ 1 1 > -> <FALSE> }T
305 T{ 1 0 > -> <TRUE> }T
306 T{ 2 1 > -> <TRUE> }T
307 T{ 0 -1 > -> <TRUE> }T
308 T{ 1 -1 > -> <TRUE> }T
309 T{ 0 MIN-INT > -> <TRUE> }T
310 T{ MAX-INT MIN-INT > -> <TRUE> }T
311 T{ MAX-INT 0 > -> <TRUE> }T
312
313 T{ 0 1 U< -> <TRUE> }T
314 T{ 1 2 U< -> <TRUE> }T
315 T{ 0 MID-UINT U< -> <TRUE> }T
316 T{ 0 MAX-UINT U< -> <TRUE> }T
317 T{ MID-UINT MAX-UINT U< -> <TRUE> }T
318 T{ 0 0 U< -> <FALSE> }T
319 T{ 1 1 U< -> <FALSE> }T
320 T{ 1 0 U< -> <FALSE> }T
321 T{ 2 1 U< -> <FALSE> }T
322 T{ MID-UINT 0 U< -> <FALSE> }T
323 T{ MAX-UINT 0 U< -> <FALSE> }T
324 T{ MAX-UINT MID-UINT U< -> <FALSE> }T
325
326 T{ 0 1 MIN -> 0 }T
327 T{ 1 2 MIN -> 1 }T
328 T{ -1 0 MIN -> -1 }T
329 T{ -1 1 MIN -> -1 }T
330 T{ MIN-INT 0 MIN -> MIN-INT }T
331 T{ MIN-INT MAX-INT MIN -> MIN-INT }T
332 T{ 0 MAX-INT MIN -> 0 }T
333 T{ 0 0 MIN -> 0 }T
334 T{ 1 1 MIN -> 1 }T
335 T{ 1 0 MIN -> 0 }T
336 T{ 2 1 MIN -> 1 }T
337 T{ 0 -1 MIN -> -1 }T
338 T{ 1 -1 MIN -> -1 }T
339 T{ 0 MIN-INT MIN -> MIN-INT }T
340 T{ MAX-INT MIN-INT MIN -> MIN-INT }T
341 T{ MAX-INT 0 MIN -> 0 }T
342
343 T{ 0 1 MAX -> 1 }T
344 T{ 1 2 MAX -> 2 }T
345 T{ -1 0 MAX -> 0 }T
346 T{ -1 1 MAX -> 1 }T
347 T{ MIN-INT 0 MAX -> 0 }T
348 T{ MIN-INT MAX-INT MAX -> MAX-INT }T
349 T{ 0 MAX-INT MAX -> MAX-INT }T
350 T{ 0 0 MAX -> 0 }T
351 T{ 1 1 MAX -> 1 }T
352 T{ 1 0 MAX -> 1 }T
353 T{ 2 1 MAX -> 2 }T
354 T{ 0 -1 MAX -> 0 }T
355 T{ 1 -1 MAX -> 1 }T
356 T{ 0 MIN-INT MAX -> 0 }T
357 T{ MAX-INT MIN-INT MAX -> MAX-INT }T
358 T{ MAX-INT 0 MAX -> MAX-INT }T
359
360 \ ------------------------------------------------------------------------
361 TESTING STACK OPS: 2DROP 2DUP 2OVER 2SWAP ?DUP DEPTH DROP DUP OVER ROT SWAP
362
363 T{ 1 2 2DROP -> }T
364 T{ 1 2 2DUP -> 1 2 1 2 }T
365 T{ 1 2 3 4 2OVER -> 1 2 3 4 1 2 }T
366 T{ 1 2 3 4 2SWAP -> 3 4 1 2 }T
367 T{ 0 ?DUP -> 0 }T
368 T{ 1 ?DUP -> 1 1 }T
369 T{ -1 ?DUP -> -1 -1 }T
370 T{ DEPTH -> 0 }T
371 T{ 0 DEPTH -> 0 1 }T
372 T{ 0 1 DEPTH -> 0 1 2 }T
373 T{ 0 DROP -> }T
374 T{ 1 2 DROP -> 1 }T
375 T{ 1 DUP -> 1 1 }T
376 T{ 1 2 OVER -> 1 2 1 }T
377 T{ 1 2 3 ROT -> 2 3 1 }T
378 T{ 1 2 SWAP -> 2 1 }T
379
380 \ ------------------------------------------------------------------------
381 TESTING >R R> R@
382
383 T{ : GR1 >R R> ; -> }T
384 T{ : GR2 >R R@ R> DROP ; -> }T
385 T{ 123 GR1 -> 123 }T
386 T{ 123 GR2 -> 123 }T
387 T{ 1S GR1 -> 1S }T   ( RETURN STACK HOLDS CELLS )
388
389 \ ------------------------------------------------------------------------
390 TESTING ADD/SUBTRACT: + - 1+ 1- ABS NEGATE
391
392 T{ 0 5 + -> 5 }T
393 T{ 5 0 + -> 5 }T
394 T{ 0 -5 + -> -5 }T
395 T{ -5 0 + -> -5 }T
396 T{ 1 2 + -> 3 }T
397 T{ 1 -2 + -> -1 }T
398 T{ -1 2 + -> 1 }T
399 T{ -1 -2 + -> -3 }T
400 T{ -1 1 + -> 0 }T
401 T{ MID-UINT 1 + -> MID-UINT+1 }T
402
403 T{ 0 5 - -> -5 }T
404 T{ 5 0 - -> 5 }T
405 T{ 0 -5 - -> 5 }T
406 T{ -5 0 - -> -5 }T
407 T{ 1 2 - -> -1 }T
408 T{ 1 -2 - -> 3 }T
409 T{ -1 2 - -> -3 }T
410 T{ -1 -2 - -> 1 }T
411 T{ 0 1 - -> -1 }T
412 T{ MID-UINT+1 1 - -> MID-UINT }T
413
414 T{ 0 1+ -> 1 }T
415 T{ -1 1+ -> 0 }T
416 T{ 1 1+ -> 2 }T
417 T{ MID-UINT 1+ -> MID-UINT+1 }T
418
419 T{ 2 1- -> 1 }T
420 T{ 1 1- -> 0 }T
421 T{ 0 1- -> -1 }T
422 T{ MID-UINT+1 1- -> MID-UINT }T
423
424 T{ 0 NEGATE -> 0 }T
425 T{ 1 NEGATE -> -1 }T
426 T{ -1 NEGATE -> 1 }T
427 T{ 2 NEGATE -> -2 }T
428 T{ -2 NEGATE -> 2 }T
429
430 T{ 0 ABS -> 0 }T
431 T{ 1 ABS -> 1 }T
432 T{ -1 ABS -> 1 }T
433 T{ MIN-INT ABS -> MID-UINT+1 }T
434
435 \ ------------------------------------------------------------------------
436 TESTING MULTIPLY: S>D * M* UM*
437
438 T{ 0 S>D -> 0 0 }T
439 T{ 1 S>D -> 1 0 }T
440 T{ 2 S>D -> 2 0 }T
441 T{ -1 S>D -> -1 -1 }T
442 T{ -2 S>D -> -2 -1 }T
443 T{ MIN-INT S>D -> MIN-INT -1 }T
444 T{ MAX-INT S>D -> MAX-INT 0 }T
445
446 T{ 0 0 M* -> 0 S>D }T
447 T{ 0 1 M* -> 0 S>D }T
448 T{ 1 0 M* -> 0 S>D }T
449 T{ 1 2 M* -> 2 S>D }T
450 T{ 2 1 M* -> 2 S>D }T
451 T{ 3 3 M* -> 9 S>D }T
452 T{ -3 3 M* -> -9 S>D }T
453 T{ 3 -3 M* -> -9 S>D }T
454 T{ -3 -3 M* -> 9 S>D }T
455 T{ 0 MIN-INT M* -> 0 S>D }T
456 T{ 1 MIN-INT M* -> MIN-INT S>D }T
457 T{ 2 MIN-INT M* -> 0 1S }T
458 T{ 0 MAX-INT M* -> 0 S>D }T
459 T{ 1 MAX-INT M* -> MAX-INT S>D }T
460 T{ 2 MAX-INT M* -> MAX-INT 1 LSHIFT 0 }T
461 T{ MIN-INT MIN-INT M* -> 0 MSB 1 RSHIFT }T
462 T{ MAX-INT MIN-INT M* -> MSB MSB 2/ }T
463 T{ MAX-INT MAX-INT M* -> 1 MSB 2/ INVERT }T
464
465 T{ 0 0 * -> 0 }T                \ TEST IDENTITIES
466 T{ 0 1 * -> 0 }T
467 T{ 1 0 * -> 0 }T
468 T{ 1 2 * -> 2 }T
469 T{ 2 1 * -> 2 }T
470 T{ 3 3 * -> 9 }T
471 T{ -3 3 * -> -9 }T
472 T{ 3 -3 * -> -9 }T
473 T{ -3 -3 * -> 9 }T
474
475 T{ MID-UINT+1 1 RSHIFT 2 * -> MID-UINT+1 }T
476 T{ MID-UINT+1 2 RSHIFT 4 * -> MID-UINT+1 }T
477 T{ MID-UINT+1 1 RSHIFT MID-UINT+1 OR 2 * -> MID-UINT+1 }T
478
479 T{ 0 0 UM* -> 0 0 }T
480 T{ 0 1 UM* -> 0 0 }T
481 T{ 1 0 UM* -> 0 0 }T
482 T{ 1 2 UM* -> 2 0 }T
483 T{ 2 1 UM* -> 2 0 }T
484 T{ 3 3 UM* -> 9 0 }T
485
486 T{ MID-UINT+1 1 RSHIFT 2 UM* -> MID-UINT+1 0 }T
487 T{ MID-UINT+1 2 UM* -> 0 1 }T
488 T{ MID-UINT+1 4 UM* -> 0 2 }T
489 T{ 1S 2 UM* -> 1S 1 LSHIFT 1 }T
490 T{ MAX-UINT MAX-UINT UM* -> 1 1 INVERT }T
491
492 \ ------------------------------------------------------------------------
493 TESTING DIVIDE: FM/MOD SM/REM UM/MOD */ */MOD / /MOD MOD
494
495 T{ 0 S>D 1 FM/MOD -> 0 0 }T
496 T{ 1 S>D 1 FM/MOD -> 0 1 }T
497 T{ 2 S>D 1 FM/MOD -> 0 2 }T
498 T{ -1 S>D 1 FM/MOD -> 0 -1 }T
499 T{ -2 S>D 1 FM/MOD -> 0 -2 }T
500 T{ 0 S>D -1 FM/MOD -> 0 0 }T
501 T{ 1 S>D -1 FM/MOD -> 0 -1 }T
502 T{ 2 S>D -1 FM/MOD -> 0 -2 }T
503 T{ -1 S>D -1 FM/MOD -> 0 1 }T
504 T{ -2 S>D -1 FM/MOD -> 0 2 }T
505 T{ 2 S>D 2 FM/MOD -> 0 1 }T
506 T{ -1 S>D -1 FM/MOD -> 0 1 }T
507 T{ -2 S>D -2 FM/MOD -> 0 1 }T
508 T{  7 S>D  3 FM/MOD -> 1 2 }T
509 T{  7 S>D -3 FM/MOD -> -2 -3 }T
510 T{ -7 S>D  3 FM/MOD -> 2 -3 }T
511 T{ -7 S>D -3 FM/MOD -> -1 2 }T
512 T{ MAX-INT S>D 1 FM/MOD -> 0 MAX-INT }T
513 T{ MIN-INT S>D 1 FM/MOD -> 0 MIN-INT }T
514 T{ MAX-INT S>D MAX-INT FM/MOD -> 0 1 }T
515 T{ MIN-INT S>D MIN-INT FM/MOD -> 0 1 }T
516 T{ 1S 1 4 FM/MOD -> 3 MAX-INT }T
517 T{ 1 MIN-INT M* 1 FM/MOD -> 0 MIN-INT }T
518 T{ 1 MIN-INT M* MIN-INT FM/MOD -> 0 1 }T
519 T{ 2 MIN-INT M* 2 FM/MOD -> 0 MIN-INT }T
520 T{ 2 MIN-INT M* MIN-INT FM/MOD -> 0 2 }T
521 T{ 1 MAX-INT M* 1 FM/MOD -> 0 MAX-INT }T
522 T{ 1 MAX-INT M* MAX-INT FM/MOD -> 0 1 }T
523 T{ 2 MAX-INT M* 2 FM/MOD -> 0 MAX-INT }T
524 T{ 2 MAX-INT M* MAX-INT FM/MOD -> 0 2 }T
525 T{ MIN-INT MIN-INT M* MIN-INT FM/MOD -> 0 MIN-INT }T
526 T{ MIN-INT MAX-INT M* MIN-INT FM/MOD -> 0 MAX-INT }T
527 T{ MIN-INT MAX-INT M* MAX-INT FM/MOD -> 0 MIN-INT }T
528 T{ MAX-INT MAX-INT M* MAX-INT FM/MOD -> 0 MAX-INT }T
529
530 T{ 0 S>D 1 SM/REM -> 0 0 }T
531 T{ 1 S>D 1 SM/REM -> 0 1 }T
532 T{ 2 S>D 1 SM/REM -> 0 2 }T
533 T{ -1 S>D 1 SM/REM -> 0 -1 }T
534 T{ -2 S>D 1 SM/REM -> 0 -2 }T
535 T{ 0 S>D -1 SM/REM -> 0 0 }T
536 T{ 1 S>D -1 SM/REM -> 0 -1 }T
537 T{ 2 S>D -1 SM/REM -> 0 -2 }T
538 T{ -1 S>D -1 SM/REM -> 0 1 }T
539 T{ -2 S>D -1 SM/REM -> 0 2 }T
540 T{ 2 S>D 2 SM/REM -> 0 1 }T
541 T{ -1 S>D -1 SM/REM -> 0 1 }T
542 T{ -2 S>D -2 SM/REM -> 0 1 }T
543 T{  7 S>D  3 SM/REM -> 1 2 }T
544 T{  7 S>D -3 SM/REM -> 1 -2 }T
545 T{ -7 S>D  3 SM/REM -> -1 -2 }T
546 T{ -7 S>D -3 SM/REM -> -1 2 }T
547 T{ MAX-INT S>D 1 SM/REM -> 0 MAX-INT }T
548 T{ MIN-INT S>D 1 SM/REM -> 0 MIN-INT }T
549 T{ MAX-INT S>D MAX-INT SM/REM -> 0 1 }T
550 T{ MIN-INT S>D MIN-INT SM/REM -> 0 1 }T
551 T{ 1S 1 4 SM/REM -> 3 MAX-INT }T
552 T{ 2 MIN-INT M* 2 SM/REM -> 0 MIN-INT }T
553 T{ 2 MIN-INT M* MIN-INT SM/REM -> 0 2 }T
554 T{ 2 MAX-INT M* 2 SM/REM -> 0 MAX-INT }T
555 T{ 2 MAX-INT M* MAX-INT SM/REM -> 0 2 }T
556 T{ MIN-INT MIN-INT M* MIN-INT SM/REM -> 0 MIN-INT }T
557 T{ MIN-INT MAX-INT M* MIN-INT SM/REM -> 0 MAX-INT }T
558 T{ MIN-INT MAX-INT M* MAX-INT SM/REM -> 0 MIN-INT }T
559 T{ MAX-INT MAX-INT M* MAX-INT SM/REM -> 0 MAX-INT }T
560
561 T{ 0 0 1 UM/MOD -> 0 0 }T
562 T{ 1 0 1 UM/MOD -> 0 1 }T
563 T{ 1 0 2 UM/MOD -> 1 0 }T
564 T{ 3 0 2 UM/MOD -> 1 1 }T
565 T{ MAX-UINT 2 UM* 2 UM/MOD -> 0 MAX-UINT }T
566 T{ MAX-UINT 2 UM* MAX-UINT UM/MOD -> 0 2 }T
567 T{ MAX-UINT MAX-UINT UM* MAX-UINT UM/MOD -> 0 MAX-UINT }T
568
569 : IFFLOORED
570     [ -3 2 / -2 = INVERT ] LITERAL IF POSTPONE \ THEN ;
571
572 : IFSYM
573     [ -3 2 / -1 = INVERT ] LITERAL IF POSTPONE \ THEN ;
574
575 \ THE SYSTEM MIGHT DO EITHER FLOORED OR SYMMETRIC DIVISION.
576 \ SINCE WE HAVE ALREADY TESTED M*, FM/MOD, AND SM/REM WE CAN USE THEM IN TEST.
577
578 IFFLOORED : T/MOD  >R S>D R> FM/MOD ;
579 IFFLOORED : T/     T/MOD SWAP DROP ;
580 IFFLOORED : TMOD   T/MOD DROP ;
581 IFFLOORED : T*/MOD >R M* R> FM/MOD ;
582 IFFLOORED : T*/    T*/MOD SWAP DROP ;
583 IFSYM     : T/MOD  >R S>D R> SM/REM ;
584 IFSYM     : T/     T/MOD SWAP DROP ;
585 IFSYM     : TMOD   T/MOD DROP ;
586 IFSYM     : T*/MOD >R M* R> SM/REM ;
587 IFSYM     : T*/    T*/MOD SWAP DROP ;
588
589 T{ 0 1 /MOD -> 0 1 T/MOD }T
590 T{ 1 1 /MOD -> 1 1 T/MOD }T
591 T{ 2 1 /MOD -> 2 1 T/MOD }T
592 T{ -1 1 /MOD -> -1 1 T/MOD }T
593 T{ -2 1 /MOD -> -2 1 T/MOD }T
594 T{ 0 -1 /MOD -> 0 -1 T/MOD }T
595 T{ 1 -1 /MOD -> 1 -1 T/MOD }T
596 T{ 2 -1 /MOD -> 2 -1 T/MOD }T
597 T{ -1 -1 /MOD -> -1 -1 T/MOD }T
598 T{ -2 -1 /MOD -> -2 -1 T/MOD }T
599 T{ 2 2 /MOD -> 2 2 T/MOD }T
600 T{ -1 -1 /MOD -> -1 -1 T/MOD }T
601 T{ -2 -2 /MOD -> -2 -2 T/MOD }T
602 T{ 7 3 /MOD -> 7 3 T/MOD }T
603 T{ 7 -3 /MOD -> 7 -3 T/MOD }T
604 T{ -7 3 /MOD -> -7 3 T/MOD }T
605 T{ -7 -3 /MOD -> -7 -3 T/MOD }T
606 T{ MAX-INT 1 /MOD -> MAX-INT 1 T/MOD }T
607 T{ MIN-INT 1 /MOD -> MIN-INT 1 T/MOD }T
608 T{ MAX-INT MAX-INT /MOD -> MAX-INT MAX-INT T/MOD }T
609 T{ MIN-INT MIN-INT /MOD -> MIN-INT MIN-INT T/MOD }T
610
611 T{ 0 1 / -> 0 1 T/ }T
612 T{ 1 1 / -> 1 1 T/ }T
613 T{ 2 1 / -> 2 1 T/ }T
614 T{ -1 1 / -> -1 1 T/ }T
615 T{ -2 1 / -> -2 1 T/ }T
616 T{ 0 -1 / -> 0 -1 T/ }T
617 T{ 1 -1 / -> 1 -1 T/ }T
618 T{ 2 -1 / -> 2 -1 T/ }T
619 T{ -1 -1 / -> -1 -1 T/ }T
620 T{ -2 -1 / -> -2 -1 T/ }T
621 T{ 2 2 / -> 2 2 T/ }T
622 T{ -1 -1 / -> -1 -1 T/ }T
623 T{ -2 -2 / -> -2 -2 T/ }T
624 T{ 7 3 / -> 7 3 T/ }T
625 T{ 7 -3 / -> 7 -3 T/ }T
626 T{ -7 3 / -> -7 3 T/ }T
627 T{ -7 -3 / -> -7 -3 T/ }T
628 T{ MAX-INT 1 / -> MAX-INT 1 T/ }T
629 T{ MIN-INT 1 / -> MIN-INT 1 T/ }T
630 T{ MAX-INT MAX-INT / -> MAX-INT MAX-INT T/ }T
631 T{ MIN-INT MIN-INT / -> MIN-INT MIN-INT T/ }T
632
633 T{ 0 1 MOD -> 0 1 TMOD }T
634 T{ 1 1 MOD -> 1 1 TMOD }T
635 T{ 2 1 MOD -> 2 1 TMOD }T
636 T{ -1 1 MOD -> -1 1 TMOD }T
637 T{ -2 1 MOD -> -2 1 TMOD }T
638 T{ 0 -1 MOD -> 0 -1 TMOD }T
639 T{ 1 -1 MOD -> 1 -1 TMOD }T
640 T{ 2 -1 MOD -> 2 -1 TMOD }T
641 T{ -1 -1 MOD -> -1 -1 TMOD }T
642 T{ -2 -1 MOD -> -2 -1 TMOD }T
643 T{ 2 2 MOD -> 2 2 TMOD }T
644 T{ -1 -1 MOD -> -1 -1 TMOD }T
645 T{ -2 -2 MOD -> -2 -2 TMOD }T
646 T{ 7 3 MOD -> 7 3 TMOD }T
647 T{ 7 -3 MOD -> 7 -3 TMOD }T
648 T{ -7 3 MOD -> -7 3 TMOD }T
649 T{ -7 -3 MOD -> -7 -3 TMOD }T
650 T{ MAX-INT 1 MOD -> MAX-INT 1 TMOD }T
651 T{ MIN-INT 1 MOD -> MIN-INT 1 TMOD }T
652 T{ MAX-INT MAX-INT MOD -> MAX-INT MAX-INT TMOD }T
653 T{ MIN-INT MIN-INT MOD -> MIN-INT MIN-INT TMOD }T
654
655 T{ 0 2 1 */ -> 0 2 1 T*/ }T
656 T{ 1 2 1 */ -> 1 2 1 T*/ }T
657 T{ 2 2 1 */ -> 2 2 1 T*/ }T
658 T{ -1 2 1 */ -> -1 2 1 T*/ }T
659 T{ -2 2 1 */ -> -2 2 1 T*/ }T
660 T{ 0 2 -1 */ -> 0 2 -1 T*/ }T
661 T{ 1 2 -1 */ -> 1 2 -1 T*/ }T
662 T{ 2 2 -1 */ -> 2 2 -1 T*/ }T
663 T{ -1 2 -1 */ -> -1 2 -1 T*/ }T
664 T{ -2 2 -1 */ -> -2 2 -1 T*/ }T
665 T{ 2 2 2 */ -> 2 2 2 T*/ }T
666 T{ -1 2 -1 */ -> -1 2 -1 T*/ }T
667 T{ -2 2 -2 */ -> -2 2 -2 T*/ }T
668 T{ 7 2 3 */ -> 7 2 3 T*/ }T
669 T{ 7 2 -3 */ -> 7 2 -3 T*/ }T
670 T{ -7 2 3 */ -> -7 2 3 T*/ }T
671 T{ -7 2 -3 */ -> -7 2 -3 T*/ }T
672 T{ MAX-INT 2 MAX-INT */ -> MAX-INT 2 MAX-INT T*/ }T
673 T{ MIN-INT 2 MIN-INT */ -> MIN-INT 2 MIN-INT T*/ }T
674
675 T{ 0 2 1 */MOD -> 0 2 1 T*/MOD }T
676 T{ 1 2 1 */MOD -> 1 2 1 T*/MOD }T
677 T{ 2 2 1 */MOD -> 2 2 1 T*/MOD }T
678 T{ -1 2 1 */MOD -> -1 2 1 T*/MOD }T
679 T{ -2 2 1 */MOD -> -2 2 1 T*/MOD }T
680 T{ 0 2 -1 */MOD -> 0 2 -1 T*/MOD }T
681 T{ 1 2 -1 */MOD -> 1 2 -1 T*/MOD }T
682 T{ 2 2 -1 */MOD -> 2 2 -1 T*/MOD }T
683 T{ -1 2 -1 */MOD -> -1 2 -1 T*/MOD }T
684 T{ -2 2 -1 */MOD -> -2 2 -1 T*/MOD }T
685 T{ 2 2 2 */MOD -> 2 2 2 T*/MOD }T
686 T{ -1 2 -1 */MOD -> -1 2 -1 T*/MOD }T
687 T{ -2 2 -2 */MOD -> -2 2 -2 T*/MOD }T
688 T{ 7 2 3 */MOD -> 7 2 3 T*/MOD }T
689 T{ 7 2 -3 */MOD -> 7 2 -3 T*/MOD }T
690 T{ -7 2 3 */MOD -> -7 2 3 T*/MOD }T
691 T{ -7 2 -3 */MOD -> -7 2 -3 T*/MOD }T
692 T{ MAX-INT 2 MAX-INT */MOD -> MAX-INT 2 MAX-INT T*/MOD }T
693 T{ MIN-INT 2 MIN-INT */MOD -> MIN-INT 2 MIN-INT T*/MOD }T
694
695 \ ------------------------------------------------------------------------
696 TESTING HERE , @ ! CELL+ CELLS C, C@ C! CHARS 2@ 2! ALIGN ALIGNED +! ALLOT
697
698 HERE 1 ALLOT
699 HERE
700 CONSTANT 2NDA
701 CONSTANT 1STA
702 T{ 1STA 2NDA U< -> <TRUE> }T        \ HERE MUST GROW WITH ALLOT
703 T{ 1STA 1+ -> 2NDA }T           \ ... BY ONE ADDRESS UNIT
704 ( MISSING TEST: NEGATIVE ALLOT )
705
706 \ Added by GWJ so that ALIGN can be used before , (comma) is tested
707 1 ALIGNED CONSTANT ALMNT   \ -- 1|2|4|8 for 8|16|32|64 bit alignment
708 ALIGN
709 T{ HERE 1 ALLOT ALIGN HERE SWAP - ALMNT = -> <TRUE> }T
710 \ End of extra test
711
712 HERE 1 ,
713 HERE 2 ,
714 CONSTANT 2ND
715 CONSTANT 1ST
716 T{ 1ST 2ND U< -> <TRUE> }T          \ HERE MUST GROW WITH ALLOT
717 T{ 1ST CELL+ -> 2ND }T          \ ... BY ONE CELL
718 T{ 1ST 1 CELLS + -> 2ND }T
719 T{ 1ST @ 2ND @ -> 1 2 }T
720 T{ 5 1ST ! -> }T
721 T{ 1ST @ 2ND @ -> 5 2 }T
722 T{ 6 2ND ! -> }T
723 T{ 1ST @ 2ND @ -> 5 6 }T
724 T{ 1ST 2@ -> 6 5 }T
725 T{ 2 1 1ST 2! -> }T
726 T{ 1ST 2@ -> 2 1 }T
727 T{ 1S 1ST !  1ST @ -> 1S }T     \ CAN STORE CELL-WIDE VALUE
728
729 HERE 1 C,
730 HERE 2 C,
731 CONSTANT 2NDC
732 CONSTANT 1STC
733 T{ 1STC 2NDC U< -> <TRUE> }T        \ HERE MUST GROW WITH ALLOT
734 T{ 1STC CHAR+ -> 2NDC }T            \ ... BY ONE CHAR
735 T{ 1STC 1 CHARS + -> 2NDC }T
736 T{ 1STC C@ 2NDC C@ -> 1 2 }T
737 T{ 3 1STC C! -> }T
738 T{ 1STC C@ 2NDC C@ -> 3 2 }T
739 T{ 4 2NDC C! -> }T
740 T{ 1STC C@ 2NDC C@ -> 3 4 }T
741
742 ALIGN 1 ALLOT HERE ALIGN HERE 3 CELLS ALLOT
743 CONSTANT A-ADDR  CONSTANT UA-ADDR
744 T{ UA-ADDR ALIGNED -> A-ADDR }T
745 T{    1 A-ADDR C!  A-ADDR C@ ->    1 }T
746 T{ 1234 A-ADDR  !  A-ADDR  @ -> 1234 }T
747 T{ 123 456 A-ADDR 2!  A-ADDR 2@ -> 123 456 }T
748 T{ 2 A-ADDR CHAR+ C!  A-ADDR CHAR+ C@ -> 2 }T
749 T{ 3 A-ADDR CELL+ C!  A-ADDR CELL+ C@ -> 3 }T
750 T{ 1234 A-ADDR CELL+ !  A-ADDR CELL+ @ -> 1234 }T
751 T{ 123 456 A-ADDR CELL+ 2!  A-ADDR CELL+ 2@ -> 123 456 }T
752
753 : BITS ( X -- U )
754     0 SWAP BEGIN DUP WHILE DUP MSB AND IF >R 1+ R> THEN 2* REPEAT DROP ;
755 ( CHARACTERS >= 1 AU, <= SIZE OF CELL, >= 8 BITS )
756 T{ 1 CHARS 1 < -> <FALSE> }T
757 T{ 1 CHARS 1 CELLS > -> <FALSE> }T
758 ( TBD: HOW TO FIND NUMBER OF BITS? )
759
760 ( CELLS >= 1 AU, INTEGRAL MULTIPLE OF CHAR SIZE, >= 16 BITS )
761 T{ 1 CELLS 1 < -> <FALSE> }T
762 T{ 1 CELLS 1 CHARS MOD -> 0 }T
763 T{ 1S BITS 10 < -> <FALSE> }T
764
765 T{ 0 1ST ! -> }T
766 T{ 1 1ST +! -> }T
767 T{ 1ST @ -> 1 }T
768 T{ -1 1ST +! 1ST @ -> 0 }T
769
770 \ ------------------------------------------------------------------------
771 TESTING CHAR [CHAR] [ ] BL S"
772
773 T{ BL -> 20 }T
774 T{ CHAR X -> 58 }T
775 T{ CHAR HELLO -> 48 }T
776 T{ : GC1 [CHAR] X ; -> }T
777 T{ : GC2 [CHAR] HELLO ; -> }T
778 T{ GC1 -> 58 }T
779 T{ GC2 -> 48 }T
780 T{ : GC3 [ GC1 ] LITERAL ; -> }T
781 T{ GC3 -> 58 }T
782 T{ : GC4 S" XY" ; -> }T
783 T{ GC4 SWAP DROP -> 2 }T
784 T{ GC4 DROP DUP C@ SWAP CHAR+ C@ -> 58 59 }T
785
786 \ ------------------------------------------------------------------------
787 TESTING ' ['] FIND EXECUTE IMMEDIATE COUNT LITERAL POSTPONE STATE
788
789 T{ : GT1 123 ; -> }T
790 T{ ' GT1 EXECUTE -> 123 }T
791 T{ : GT2 ['] GT1 ; IMMEDIATE -> }T
792 T{ GT2 EXECUTE -> 123 }T
793 HERE 3 C, CHAR G C, CHAR T C, CHAR 1 C, CONSTANT GT1STRING
794 HERE 3 C, CHAR G C, CHAR T C, CHAR 2 C, CONSTANT GT2STRING
795 T{ GT1STRING FIND -> ' GT1 -1 }T
796 T{ GT2STRING FIND -> ' GT2 1 }T
797 ( HOW TO SEARCH FOR NON-EXISTENT WORD? )
798 T{ : GT3 GT2 LITERAL ; -> }T
799 T{ GT3 -> ' GT1 }T
800 T{ GT1STRING COUNT -> GT1STRING CHAR+ 3 }T
801
802 T{ : GT4 POSTPONE GT1 ; IMMEDIATE -> }T
803 T{ : GT5 GT4 ; -> }T
804 T{ GT5 -> 123 }T
805 T{ : GT6 345 ; IMMEDIATE -> }T
806 T{ : GT7 POSTPONE GT6 ; -> }T
807 T{ GT7 -> 345 }T
808
809 T{ : GT8 STATE @ ; IMMEDIATE -> }T
810 T{ GT8 -> 0 }T
811 T{ : GT9 GT8 LITERAL ; -> }T
812 T{ GT9 0= -> <FALSE> }T
813
814 \ ------------------------------------------------------------------------
815 TESTING IF ELSE THEN BEGIN WHILE REPEAT UNTIL RECURSE
816
817 T{ : GI1 IF 123 THEN ; -> }T
818 T{ : GI2 IF 123 ELSE 234 THEN ; -> }T
819 T{ 0 GI1 -> }T
820 T{ 1 GI1 -> 123 }T
821 T{ -1 GI1 -> 123 }T
822 T{ 0 GI2 -> 234 }T
823 T{ 1 GI2 -> 123 }T
824 T{ -1 GI1 -> 123 }T
825
826 T{ : GI3 BEGIN DUP 5 < WHILE DUP 1+ REPEAT ; -> }T
827 T{ 0 GI3 -> 0 1 2 3 4 5 }T
828 T{ 4 GI3 -> 4 5 }T
829 T{ 5 GI3 -> 5 }T
830 T{ 6 GI3 -> 6 }T
831
832 T{ : GI4 BEGIN DUP 1+ DUP 5 > UNTIL ; -> }T
833 T{ 3 GI4 -> 3 4 5 6 }T
834 T{ 5 GI4 -> 5 6 }T
835 T{ 6 GI4 -> 6 7 }T
836
837 T{ : GI5 BEGIN DUP 2 > WHILE DUP 5 < WHILE DUP 1+ REPEAT 123 ELSE 345 THEN ; -> }T
838 T{ 1 GI5 -> 1 345 }T
839 T{ 2 GI5 -> 2 345 }T
840 T{ 3 GI5 -> 3 4 5 123 }T
841 T{ 4 GI5 -> 4 5 123 }T
842 T{ 5 GI5 -> 5 123 }T
843
844 T{ : GI6 ( N -- 0,1,..N ) DUP IF DUP >R 1- RECURSE R> THEN ; -> }T
845 T{ 0 GI6 -> 0 }T
846 T{ 1 GI6 -> 0 1 }T
847 T{ 2 GI6 -> 0 1 2 }T
848 T{ 3 GI6 -> 0 1 2 3 }T
849 T{ 4 GI6 -> 0 1 2 3 4 }T
850
851 \ ----------------------------------------------------------------------------
852 TESTING DO LOOP +LOOP I J UNLOOP LEAVE EXIT
853
854 T{ : GD1 DO I LOOP ; -> }T
855 T{ 4 1 GD1 -> 1 2 3 }T
856 T{ 2 -1 GD1 -> -1 0 1 }T
857 T{ MID-UINT+1 MID-UINT GD1 -> MID-UINT }T
858
859 T{ : GD2 DO I -1 +LOOP ; -> }T
860 T{ 1 4 GD2 -> 4 3 2 1 }T
861 T{ -1 2 GD2 -> 2 1 0 -1 }T
862 T{ MID-UINT MID-UINT+1 GD2 -> MID-UINT+1 MID-UINT }T
863
864 T{ : GD3 DO 1 0 DO J LOOP LOOP ; -> }T
865 T{ 4 1 GD3 -> 1 2 3 }T
866 T{ 2 -1 GD3 -> -1 0 1 }T
867 T{ MID-UINT+1 MID-UINT GD3 -> MID-UINT }T
868
869 T{ : GD4 DO 1 0 DO J LOOP -1 +LOOP ; -> }T
870 T{ 1 4 GD4 -> 4 3 2 1 }T
871 T{ -1 2 GD4 -> 2 1 0 -1 }T
872 T{ MID-UINT MID-UINT+1 GD4 -> MID-UINT+1 MID-UINT }T
873
874 T{ : GD5 123 SWAP 0 DO I 4 > IF DROP 234 LEAVE THEN LOOP ; -> }T
875 T{ 1 GD5 -> 123 }T
876 T{ 5 GD5 -> 123 }T
877 T{ 6 GD5 -> 234 }T
878
879 T{ : GD6  ( PAT: T{0 0}T,T{0 0}TT{1 0}TT{1 1}T,T{0 0}TT{1 0}TT{1 1}TT{2 0}TT{2 1}TT{2 2}T )
880     0 SWAP 0 DO
881         I 1+ 0 DO I J + 3 = IF I UNLOOP I UNLOOP EXIT THEN 1+ LOOP
882     LOOP ; -> }T
883 T{ 1 GD6 -> 1 }T
884 T{ 2 GD6 -> 3 }T
885 T{ 3 GD6 -> 4 1 2 }T
886
887 \ ------------------------------------------------------------------------
888 TESTING DEFINING WORDS: : ; CONSTANT VARIABLE CREATE DOES> >BODY
889
890 T{ 123 CONSTANT X123 -> }T
891 T{ X123 -> 123 }T
892 T{ : EQU CONSTANT ; -> }T
893 T{ X123 EQU Y123 -> }T
894 T{ Y123 -> 123 }T
895
896 T{ VARIABLE V1 -> }T
897 T{ 123 V1 ! -> }T
898 T{ V1 @ -> 123 }T
899
900 T{ : NOP : POSTPONE ; ; -> }T
901 T{ NOP NOP1 NOP NOP2 -> }T
902 T{ NOP1 -> }T
903 T{ NOP2 -> }T
904
905 T{ : DOES1 DOES> @ 1 + ; -> }T
906 T{ : DOES2 DOES> @ 2 + ; -> }T
907 T{ CREATE CR1 -> }T
908 T{ CR1 -> HERE }T
909 T{ ' CR1 >BODY -> HERE }T
910 T{ 1 , -> }T
911 T{ CR1 @ -> 1 }T
912 T{ DOES1 -> }T
913 T{ CR1 -> 2 }T
914 T{ DOES2 -> }T
915 T{ CR1 -> 3 }T
916
917 T{ : WEIRD: CREATE DOES> 1 + DOES> 2 + ; -> }T
918 T{ WEIRD: W1 -> }T
919 T{ ' W1 >BODY -> HERE }T
920 T{ W1 -> HERE 1 + }T
921 T{ W1 -> HERE 2 + }T
922
923 \ ------------------------------------------------------------------------
924 TESTING EVALUATE
925
926 : GE1 S" 123" ; IMMEDIATE
927 : GE2 S" 123 1+" ; IMMEDIATE
928 : GE3 S" : GE4 345 ;" ;
929 : GE5 EVALUATE ; IMMEDIATE
930
931 T{ GE1 EVALUATE -> 123 }T           ( TEST EVALUATE IN INTERP. STATE )
932 T{ GE2 EVALUATE -> 124 }T
933 T{ GE3 EVALUATE -> }T
934 T{ GE4 -> 345 }T
935
936 T{ : GE6 GE1 GE5 ; -> }T            ( TEST EVALUATE IN COMPILE STATE )
937 T{ GE6 -> 123 }T
938 T{ : GE7 GE2 GE5 ; -> }T
939 T{ GE7 -> 124 }T
940
941 \ ------------------------------------------------------------------------
942 TESTING SOURCE >IN WORD
943
944 : GS1 S" SOURCE" 2DUP EVALUATE
945         >R SWAP >R = R> R> = ;
946 T{ GS1 -> <TRUE> <TRUE> }T
947
948 VARIABLE SCANS
949 : RESCAN?  -1 SCANS +! SCANS @ IF 0 >IN ! THEN ;
950
951 T{ 2 SCANS !
952 345 RESCAN?
953 -> 345 345 }T
954
955 : GS2  5 SCANS ! S" 123 RESCAN?" EVALUATE ;
956 T{ GS2 -> 123 123 123 123 123 }T
957
958 : GS3 WORD COUNT SWAP C@ ;
959 T{ BL GS3 HELLO -> 5 CHAR H }T
960 T{ CHAR " GS3 GOODBYE" -> 7 CHAR G }T
961 T{ BL GS3
962 DROP -> 0 }T                \ BLANK LINE RETURN ZERO-LENGTH STRING
963
964 : GS4 SOURCE >IN ! DROP ;
965 T{ GS4 123 456
966 -> }T
967
968 \ ------------------------------------------------------------------------
969 TESTING <# # #S #> HOLD SIGN BASE >NUMBER HEX DECIMAL
970
971 : S=  \ ( ADDR1 C1 ADDR2 C2 -- T/F ) COMPARE TWO STRINGS.
972     >R SWAP R@ = IF          \ MAKE SURE STRINGS HAVE SAME LENGTH
973         R> ?DUP IF            \ IF NON-EMPTY STRINGS
974         0 DO
975         OVER C@ OVER C@ - IF
976             2DROP <FALSE> UNLOOP EXIT THEN
977         SWAP CHAR+ SWAP CHAR+
978             LOOP
979         THEN
980         2DROP <TRUE>          \ IF WE GET HERE, STRINGS MATCH
981     ELSE
982         R> DROP 2DROP <FALSE>     \ LENGTHS MISMATCH
983     THEN ;
984
985 : GP1  <# 41 HOLD 42 HOLD 0 0 #> S" BA" S= ;
986 T{ GP1 -> <TRUE> }T
987
988 : GP2  <# -1 SIGN 0 SIGN -1 SIGN 0 0 #> S" --" S= ;
989 T{ GP2 -> <TRUE> }T
990
991 : GP3  <# 1 0 # # #> S" 01" S= ;
992 T{ GP3 -> <TRUE> }T
993
994 : GP4  <# 1 0 #S #> S" 1" S= ;
995 T{ GP4 -> <TRUE> }T
996
997 24 CONSTANT MAX-BASE            \ BASE 2 .. 36
998 : COUNT-BITS
999     0 0 INVERT BEGIN DUP WHILE >R 1+ R> 2* REPEAT DROP ;
1000 COUNT-BITS 2* CONSTANT #BITS-UD     \ NUMBER OF BITS IN UD
1001
1002 : GP5
1003     BASE @ <TRUE>
1004     MAX-BASE 1+ 2 DO         \ FOR EACH POSSIBLE BASE
1005         I BASE !              \ TBD: ASSUMES BASE WORKS
1006         I 0 <# #S #> S" 10" S= AND
1007     LOOP
1008     SWAP BASE ! ;
1009 T{ GP5 -> <TRUE> }T
1010
1011 : GP6
1012     BASE @ >R  2 BASE !
1013     MAX-UINT MAX-UINT <# #S #>       \ MAXIMUM UD TO BINARY
1014     R> BASE !                \ S: C-ADDR U
1015     DUP #BITS-UD = SWAP
1016     0 DO                 \ S: C-ADDR FLAG
1017         OVER C@ [CHAR] 1 = AND        \ ALL ONES
1018         >R CHAR+ R>
1019     LOOP SWAP DROP ;
1020 T{ GP6 -> <TRUE> }T
1021
1022 : GP7
1023     BASE @ >R    MAX-BASE BASE !
1024     <TRUE>
1025     A 0 DO
1026         I 0 <# #S #>
1027         1 = SWAP C@ I 30 + = AND AND
1028     LOOP
1029     MAX-BASE A DO
1030         I 0 <# #S #>
1031         1 = SWAP C@ 41 I A - + = AND AND
1032     LOOP
1033     R> BASE ! ;
1034
1035 T{ GP7 -> <TRUE> }T
1036
1037 \ >NUMBER TESTS
1038 CREATE GN-BUF 0 C,
1039 : GN-STRING GN-BUF 1 ;
1040 : GN-CONSUMED   GN-BUF CHAR+ 0 ;
1041 : GN'       [CHAR] ' WORD CHAR+ C@ GN-BUF C!  GN-STRING ;
1042
1043 T{ 0 0 GN' 0' >NUMBER -> 0 0 GN-CONSUMED }T
1044 T{ 0 0 GN' 1' >NUMBER -> 1 0 GN-CONSUMED }T
1045 T{ 1 0 GN' 1' >NUMBER -> BASE @ 1+ 0 GN-CONSUMED }T
1046 \ FOLLOWING SHOULD FAIL TO CONVERT
1047 T{ 0 0 GN' -' >NUMBER -> 0 0 GN-STRING }T
1048 T{ 0 0 GN' +' >NUMBER -> 0 0 GN-STRING }T
1049 T{ 0 0 GN' .' >NUMBER -> 0 0 GN-STRING }T
1050
1051 : >NUMBER-BASED
1052     BASE @ >R BASE ! >NUMBER R> BASE ! ;
1053
1054 T{ 0 0 GN' 2' 10 >NUMBER-BASED -> 2 0 GN-CONSUMED }T
1055 T{ 0 0 GN' 2'  2 >NUMBER-BASED -> 0 0 GN-STRING }T
1056 T{ 0 0 GN' F' 10 >NUMBER-BASED -> F 0 GN-CONSUMED }T
1057 T{ 0 0 GN' G' 10 >NUMBER-BASED -> 0 0 GN-STRING }T
1058 T{ 0 0 GN' G' MAX-BASE >NUMBER-BASED -> 10 0 GN-CONSUMED }T
1059 T{ 0 0 GN' Z' MAX-BASE >NUMBER-BASED -> 23 0 GN-CONSUMED }T
1060
1061 : GN1   \ ( UD BASE -- UD' LEN )
1062 \ UD SHOULD EQUAL UD' AND LEN SHOULD BE ZERO.
1063     BASE @ >R BASE !
1064     <# #S #>
1065     0 0 2SWAP >NUMBER SWAP DROP      \ RETURN LENGTH ONLY
1066     R> BASE ! ;
1067 T{ 0 0 2 GN1 -> 0 0 0 }T
1068 T{ MAX-UINT 0 2 GN1 -> MAX-UINT 0 0 }T
1069 T{ MAX-UINT DUP 2 GN1 -> MAX-UINT DUP 0 }T
1070 T{ 0 0 MAX-BASE GN1 -> 0 0 0 }T
1071 T{ MAX-UINT 0 MAX-BASE GN1 -> MAX-UINT 0 0 }T
1072 T{ MAX-UINT DUP MAX-BASE GN1 -> MAX-UINT DUP 0 }T
1073
1074 : GN2   \ ( -- 16 10 )
1075     BASE @ >R  HEX BASE @  DECIMAL BASE @  R> BASE ! ;
1076 T{ GN2 -> 10 A }T
1077
1078 \ ------------------------------------------------------------------------
1079 TESTING FILL MOVE
1080
1081 CREATE FBUF 00 C, 00 C, 00 C,
1082 CREATE SBUF 12 C, 34 C, 56 C,
1083 : SEEBUF FBUF C@  FBUF CHAR+ C@  FBUF CHAR+ CHAR+ C@ ;
1084
1085 T{ FBUF 0 20 FILL -> }T
1086 T{ SEEBUF -> 00 00 00 }T
1087
1088 T{ FBUF 1 20 FILL -> }T
1089 T{ SEEBUF -> 20 00 00 }T
1090
1091 T{ FBUF 3 20 FILL -> }T
1092 T{ SEEBUF -> 20 20 20 }T
1093
1094 T{ FBUF FBUF 3 CHARS MOVE -> }T     \ BIZARRE SPECIAL CASE
1095 T{ SEEBUF -> 20 20 20 }T
1096
1097 T{ SBUF FBUF 0 CHARS MOVE -> }T
1098 T{ SEEBUF -> 20 20 20 }T
1099
1100 T{ SBUF FBUF 1 CHARS MOVE -> }T
1101 T{ SEEBUF -> 12 20 20 }T
1102
1103 T{ SBUF FBUF 3 CHARS MOVE -> }T
1104 T{ SEEBUF -> 12 34 56 }T
1105
1106 T{ FBUF FBUF CHAR+ 2 CHARS MOVE -> }T
1107 T{ SEEBUF -> 12 12 34 }T
1108
1109 T{ FBUF CHAR+ FBUF 2 CHARS MOVE -> }T
1110 T{ SEEBUF -> 12 34 34 }T
1111
1112 \ ------------------------------------------------------------------------
1113 TESTING OUTPUT: . ." CR EMIT SPACE SPACES TYPE U.
1114
1115 : OUTPUT-TEST
1116     ." YOU SHOULD SEE THE STANDARD GRAPHIC CHARACTERS:" CR
1117     41 BL DO I EMIT LOOP CR
1118     61 41 DO I EMIT LOOP CR
1119     7F 61 DO I EMIT LOOP CR
1120     ." YOU SHOULD SEE 0-9 SEPARATED BY A SPACE:" CR
1121     9 1+ 0 DO I . LOOP CR
1122     ." YOU SHOULD SEE 0-9 (WITH NO SPACES):" CR
1123     [CHAR] 9 1+ [CHAR] 0 DO I 0 SPACES EMIT LOOP CR
1124     ." YOU SHOULD SEE A-G SEPARATED BY A SPACE:" CR
1125     [CHAR] G 1+ [CHAR] A DO I EMIT SPACE LOOP CR
1126     ." YOU SHOULD SEE 0-5 SEPARATED BY TWO SPACES:" CR
1127     5 1+ 0 DO I [CHAR] 0 + EMIT 2 SPACES LOOP CR
1128     ." YOU SHOULD SEE TWO SEPARATE LINES:" CR
1129     S" LINE 1" TYPE CR S" LINE 2" TYPE CR
1130     ." YOU SHOULD SEE THE NUMBER RANGES OF SIGNED AND UNSIGNED NUMBERS:" CR
1131     ."   SIGNED: " MIN-INT . MAX-INT . CR
1132     ." UNSIGNED: " 0 U. MAX-UINT U. CR
1133 ;
1134
1135 T{ OUTPUT-TEST -> }T
1136 \ ------------------------------------------------------------------------
1137 TESTING INPUT: ACCEPT
1138
1139 CREATE ABUF 80 CHARS ALLOT
1140
1141 \    ' ACCEPT DUP >BODY SWAP 2 + @ =     \ JMT:
1142 \    [IF]                                \ JMT: ACCEPT is not redirected
1143     : ACCEPT-TEST
1144         CR ." PLEASE TYPE UP TO 80 CHARACTERS: "
1145         ABUF 80 ACCEPT
1146         CR ." RECEIVED: " [CHAR] " EMIT
1147         ABUF SWAP TYPE [CHAR] " EMIT CR
1148     ;
1149 \    [ELSE]                              \ JMT: ACCEPT is redirected
1150 \    : ACCEPT-TEST
1151 \        ['] NOECHO >BODY DUP @ @        \ JMT: -- NOECHO_BODY YEMIT_exec
1152 \        SWAP 2 - @                      \ JMT: -- YEMIT_exec NOECHO_exec
1153 \        = DUP                           \ JMT: -- NOECHO_flag NOECHO_flag
1154 \        IF ECHO THEN                    \ JMT: -- NOECHO_flag
1155 \            CR ." PLEASE TYPE UP TO 80 CHARACTERS: "
1156 \            ['] ACCEPT >BODY            \ JMT: find default exec part of ACCEPT
1157 \            EXECUTE                     \ JMT: execute it
1158 \            CR ." RECEIVED: " [CHAR] " EMIT
1159 \            ABUF SWAP TYPE [CHAR] " EMIT CR
1160 \        IF NOECHO THEN                  \ JMT: --
1161 \    ;
1162 \    [THEN]
1163
1164 T{ ACCEPT-TEST -> }T
1165 \ ZYXWVUTSRQPONMLKJIHGFEDCBAzyxwvutsrqponmlkjihgfedcba
1166  \ ------------------------------------------------------------------------
1167 TESTING DICTIONARY SEARCH RULES
1168
1169 T{ : GDX   123 ; : GDX   GDX 234 ; -> }T
1170
1171 T{ GDX -> 123 234 }T
1172
1173 CR .( End of Core word set tests) \ "
1174
1175 \ =============================================================================
1176 \ COREPLUSTEST
1177 \ =============================================================================
1178 \ Additional tests on the the ANS Forth Core word set
1179 \ -----------------------------------------------------------------------------
1180 \ https://raw.githubusercontent.com/gerryjackson/forth2012-test-suite/master/src/coreplustest.fth
1181
1182 \ This program was written by Gerry Jackson in 2007, with contributions from
1183 \ others where indicated, and is in the public domain - it can be distributed
1184 \ and/or modified in any way but please retain this notice.
1185
1186 \ This program is distributed in the hope that it will be useful,
1187 \ but WITHOUT ANY WARRANTY; without even the implied warranty of
1188 \ MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
1189
1190 \ The tests are not claimed to be comprehensive or correct
1191
1192 \ -----------------------------------------------------------------------------
1193 \ The tests are based on John Hayes test program for the core word set
1194 \
1195 \ This file provides some more tests on Core words where the original Hayes
1196 \ tests are thought to be incomplete
1197 \
1198 \ Words tested in this file are:
1199 \     DO I +LOOP RECURSE ELSE >IN IMMEDIATE FIND IF...BEGIN...REPEAT ALLOT DOES>
1200 \ and
1201 \     Parsing behaviour
1202 \     Number prefixes # $ % and 'A' character input
1203 \     Definition names
1204 \ -----------------------------------------------------------------------------
1205 \ Assumptions and dependencies:
1206 \     - tester.fr or ttester.fs has been loaded prior to this file
1207 \     - core.fr has been loaded so that constants <TRUE> MAX-INT, MIN-INT and
1208 \       MAX-UINT are defined
1209 \ -----------------------------------------------------------------------------
1210
1211 DECIMAL
1212
1213 TESTING DO +LOOP with run-time increment, negative increment, infinite loop
1214 \ Contributed by Reinhold Straub
1215
1216 VARIABLE ITERATIONS
1217 VARIABLE INCREMENT
1218 : GD7 ( LIMIT START INCREMENT -- )
1219    INCREMENT !
1220    0 ITERATIONS !
1221    DO
1222       1 ITERATIONS +!
1223       I
1224       ITERATIONS @  6 = IF LEAVE THEN
1225       INCREMENT @
1226    +LOOP ITERATIONS @
1227 ;
1228
1229 T{  4  4 -1 GD7 -> 4 1 }T
1230 T{  1  4 -1 GD7 -> 4 3 2 1 4 }T
1231 T{  4  1 -1 GD7 -> 1 0 -1 -2 -3 -4 6 }T
1232 T{  4  1  0 GD7 -> 1 1 1 1 1 1 6 }T
1233 T{  0  0  0 GD7 -> 0 0 0 0 0 0 6 }T
1234 T{  1  4  0 GD7 -> 4 4 4 4 4 4 6 }T
1235 T{  1  4  1 GD7 -> 4 5 6 7 8 9 6 }T
1236 T{  4  1  1 GD7 -> 1 2 3 3 }T
1237 T{  4  4  1 GD7 -> 4 5 6 7 8 9 6 }T
1238 T{  2 -1 -1 GD7 -> -1 -2 -3 -4 -5 -6 6 }T
1239 T{ -1  2 -1 GD7 -> 2 1 0 -1 4 }T
1240 T{  2 -1  0 GD7 -> -1 -1 -1 -1 -1 -1 6 }T
1241 T{ -1  2  0 GD7 -> 2 2 2 2 2 2 6 }T
1242 T{ -1  2  1 GD7 -> 2 3 4 5 6 7 6 }T
1243 T{  2 -1  1 GD7 -> -1 0 1 3 }T
1244 T{ -20 30 -10 GD7 -> 30 20 10 0 -10 -20 6 }T
1245 T{ -20 31 -10 GD7 -> 31 21 11 1 -9 -19 6 }T
1246 T{ -20 29 -10 GD7 -> 29 19 9 -1 -11 5 }T
1247
1248 \ -----------------------------------------------------------------------------
1249 TESTING DO +LOOP with large and small increments
1250
1251 \ Contributed by Andrew Haley
1252
1253 MAX-UINT 8 RSHIFT 1+ CONSTANT USTEP
1254 USTEP NEGATE CONSTANT -USTEP
1255 MAX-INT 7 RSHIFT 1+ CONSTANT STEP
1256 STEP NEGATE CONSTANT -STEP
1257
1258 VARIABLE BUMP
1259
1260 T{ : GD8 BUMP ! DO 1+ BUMP @ +LOOP ; -> }T
1261
1262 T{ 0 MAX-UINT 0 USTEP GD8 -> 256 }T
1263 T{ 0 0 MAX-UINT -USTEP GD8 -> 256 }T
1264
1265 T{ 0 MAX-INT MIN-INT STEP GD8 -> 256 }T
1266 T{ 0 MIN-INT MAX-INT -STEP GD8 -> 256 }T
1267
1268 \ Two's complement arithmetic, wraps around modulo wordsize
1269 \ Only tested if the Forth system does wrap around, use of conditional
1270 \ compilation deliberately avoided
1271
1272 MAX-INT 1+ MIN-INT = CONSTANT +WRAP?
1273 MIN-INT 1- MAX-INT = CONSTANT -WRAP?
1274 MAX-UINT 1+ 0=       CONSTANT +UWRAP?
1275 0 1- MAX-UINT =      CONSTANT -UWRAP?
1276
1277 : GD9  ( n limit start step f result -- )
1278    >R IF GD8 ELSE 2DROP 2DROP R@ THEN -> R> }T
1279 ;
1280
1281 T{ 0 0 0  USTEP +UWRAP? 256 GD9
1282 T{ 0 0 0 -USTEP -UWRAP?   1 GD9
1283 T{ 0 MIN-INT MAX-INT  STEP +WRAP? 1 GD9
1284 T{ 0 MAX-INT MIN-INT -STEP -WRAP? 1 GD9
1285
1286 \ -----------------------------------------------------------------------------
1287 TESTING DO +LOOP with maximum and minimum increments
1288
1289 : (-MI) MAX-INT DUP NEGATE + 0= IF MAX-INT NEGATE ELSE -32767 THEN ;
1290 (-MI) CONSTANT -MAX-INT
1291
1292 T{ 0 1 0 MAX-INT GD8  -> 1 }T
1293 T{ 0 -MAX-INT NEGATE -MAX-INT OVER GD8  -> 2 }T
1294
1295 T{ 0 MAX-INT  0 MAX-INT GD8  -> 1 }T
1296 T{ 0 MAX-INT  1 MAX-INT GD8  -> 1 }T
1297 T{ 0 MAX-INT -1 MAX-INT GD8  -> 2 }T
1298 T{ 0 MAX-INT DUP 1- MAX-INT GD8  -> 1 }T
1299
1300 T{ 0 MIN-INT 1+   0 MIN-INT GD8  -> 1 }T
1301 T{ 0 MIN-INT 1+  -1 MIN-INT GD8  -> 1 }T
1302 T{ 0 MIN-INT 1+   1 MIN-INT GD8  -> 2 }T
1303 T{ 0 MIN-INT 1+ DUP MIN-INT GD8  -> 1 }T
1304
1305 \ -----------------------------------------------------------------------------
1306 \ TESTING +LOOP setting I to an arbitrary value
1307
1308 \ The specification for +LOOP permits the loop index I to be set to any value
1309 \ including a value outside the range given to the corresponding  DO.
1310
1311 \ SET-I is a helper to set I in a DO ... +LOOP to a given value
1312 \ n2 is the value of I in a DO ... +LOOP
1313 \ n3 is a test value
1314 \ If n2=n3 then return n1-n2 else return 1
1315 : SET-I  ( n1 n2 n3 -- n1-n2 | 1 )
1316    OVER = IF - ELSE 2DROP 1 THEN
1317 ;
1318
1319 : -SET-I ( n1 n2 n3 -- n1-n2 | -1 )
1320    SET-I DUP 1 = IF NEGATE THEN
1321 ;
1322
1323 : PL1 20 1 DO I 18 I 3 SET-I +LOOP ;
1324 T{ PL1 -> 1 2 3 18 19 }T
1325 : PL2 20 1 DO I 20 I 2 SET-I +LOOP ;
1326 T{ PL2 -> 1 2 }T
1327 : PL3 20 5 DO I 19 I 2 SET-I DUP 1 = IF DROP 0 I 6 SET-I THEN +LOOP ;
1328 T{ PL3 -> 5 6 0 1 2 19 }T
1329 : PL4 20 1 DO I MAX-INT I 4 SET-I +LOOP ;
1330 T{ PL4 -> 1 2 3 4 }T
1331 : PL5 -20 -1 DO I -19 I -3 -SET-I +LOOP ;
1332 T{ PL5 -> -1 -2 -3 -19 -20 }T
1333 : PL6 -20 -1 DO I -21 I -4 -SET-I +LOOP ;
1334 T{ PL6 -> -1 -2 -3 -4 }T
1335 : PL7 -20 -1 DO I MIN-INT I -5 -SET-I +LOOP ;
1336 T{ PL7 -> -1 -2 -3 -4 -5 }T
1337 : PL8 -20 -5 DO I -20 I -2 -SET-I DUP -1 = IF DROP 0 I -6 -SET-I THEN +LOOP ;
1338 T{ PL8 -> -5 -6 0 -1 -2 -20 }T
1339
1340 \ -----------------------------------------------------------------------------
1341 TESTING multiple RECURSEs in one colon definition
1342
1343 : ACK ( m n -- u )    \ Ackermann function, from Rosetta Code
1344    OVER 0= IF  NIP 1+ EXIT  THEN       \ ack(0, n) = n+1
1345    SWAP 1- SWAP                        ( -- m-1 n )
1346    DUP  0= IF  1+  RECURSE EXIT  THEN  \ ack(m, 0) = ack(m-1, 1)
1347    1- OVER 1+ SWAP RECURSE RECURSE     \ ack(m, n) = ack(m-1, ack(m,n-1))
1348 ;
1349
1350 T{ 0 0 ACK ->  1 }T
1351 T{ 3 0 ACK ->  5 }T
1352 T{ 2 4 ACK -> 11 }T
1353
1354 \ -----------------------------------------------------------------------------
1355 TESTING multiple ELSE's in an IF statement
1356 \ Discussed on comp.lang.forth and accepted as valid ANS Forth
1357
1358 : MELSE IF 1 ELSE 2 ELSE 3 ELSE 4 ELSE 5 THEN ;
1359 T{ 0 MELSE -> 2 4 }T
1360 T{ -1 MELSE -> 1 3 5 }T
1361
1362 \ -----------------------------------------------------------------------------
1363 TESTING manipulation of >IN in interpreter mode
1364
1365 T{ 12345 DEPTH OVER 9 < 34 AND + 3 + >IN ! -> 12345 2345 345 45 5 }T
1366 T{ 14145 8115 ?DUP 0= 34 AND >IN +! TUCK MOD 14 >IN ! GCD CALCULATION -> 15 }T
1367
1368 \ -----------------------------------------------------------------------------
1369 TESTING IMMEDIATE with CONSTANT  VARIABLE and CREATE [ ... DOES> ]
1370
1371 T{ 123 CONSTANT IW1 IMMEDIATE IW1 -> 123 }T
1372 T{ : IW2 IW1 LITERAL ; IW2 -> 123 }T
1373 T{ VARIABLE IW3 IMMEDIATE 234 IW3 ! IW3 @ -> 234 }T
1374 T{ : IW4 IW3 [ @ ] LITERAL ; IW4 -> 234 }T
1375 T{ :NONAME [ 345 ] IW3 [ ! ] ; DROP IW3 @ -> 345 }T
1376 T{ CREATE IW5 456 , IMMEDIATE -> }T
1377 T{ :NONAME IW5 [ @ IW3 ! ] ; DROP IW3 @ -> 456 }T
1378 T{ : IW6 CREATE , IMMEDIATE DOES> @ 1+ ; -> }T
1379 T{ 111 IW6 IW7 IW7 -> 112 }T
1380 T{ : IW8 IW7 LITERAL 1+ ; IW8 -> 113 }T
1381 T{ : IW9 CREATE , DOES> @ 2 + IMMEDIATE ; -> }T
1382 : FIND-IW BL WORD FIND NIP ;  ( -- 0 | 1 | -1 )
1383 T{ 222 IW9 IW10 FIND-IW IW10 -> -1 }T   \ IW10 is not immediate
1384 T{ IW10 FIND-IW IW10 -> 224 1 }T        \ IW10 becomes immediate
1385
1386 \ -----------------------------------------------------------------------------
1387 TESTING that IMMEDIATE doesn't toggle a flag
1388
1389 VARIABLE IT1 0 IT1 !
1390 : IT2 1234 IT1 ! ; IMMEDIATE IMMEDIATE
1391 T{ : IT3 IT2 ; IT1 @ -> 1234 }T
1392
1393 \ -----------------------------------------------------------------------------
1394 TESTING parsing behaviour of S" ." and (
1395 \ which should parse to just beyond the terminating character no space needed
1396
1397 T{ : GC5 S" A string"2DROP ; GC5 -> }T
1398 T{ ( A comment)1234 -> 1234 }T
1399 T{ : PB1 CR ." You should see 2345: "." 2345"( A comment) CR ; PB1 -> }T
1400
1401 \ -----------------------------------------------------------------------------
1402 TESTING number prefixes # $ % and 'c' character input
1403 \ Adapted from the Forth 200X Draft 14.5 document
1404
1405 VARIABLE OLD-BASE
1406 DECIMAL BASE @ OLD-BASE !
1407 T{ #1289 -> 1289 }T
1408 T{ #-1289 -> -1289 }T
1409 T{ $12eF -> 4847 }T
1410 T{ $-12eF -> -4847 }T
1411 T{ %10010110 -> 150 }T
1412 T{ %-10010110 -> -150 }T
1413 T{ 'z' -> 122 }T
1414 T{ 'Z' -> 90 }T
1415 \ Check BASE is unchanged
1416 T{ BASE @ OLD-BASE @ = -> <TRUE> }T
1417
1418 \ Repeat in Hex mode
1419 16 OLD-BASE ! 16 BASE !
1420 T{ #1289 -> 509 }T
1421 T{ #-1289 -> -509 }T
1422 T{ $12eF -> 12EF }T
1423 T{ $-12eF -> -12EF }T
1424 T{ %10010110 -> 96 }T
1425 T{ %-10010110 -> -96 }T
1426 T{ 'z' -> 7a }T
1427 T{ 'Z' -> 5a }T
1428 \ Check BASE is unchanged
1429 T{ BASE @ OLD-BASE @ = -> <TRUE> }T   \ 2
1430
1431 DECIMAL
1432 \ Check number prefixes in compile mode
1433 T{ : nmp  #8327 $-2cbe %011010111 ''' ; nmp -> 8327 -11454 215 39 }T
1434
1435 \ -----------------------------------------------------------------------------
1436 TESTING definition names
1437 \ should support {1..31} graphical characters
1438 : !"#$%&'()*+,-./0123456789:;<=>? 1 ;
1439 T{ !"#$%&'()*+,-./0123456789:;<=>? -> 1 }T
1440 : @ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^ 2 ;
1441 T{ @ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^ -> 2 }T
1442 : _`abcdefghijklmnopqrstuvwxyz{|} 3 ;
1443 T{ _`abcdefghijklmnopqrstuvwxyz{|} -> 3 }T
1444 : _`abcdefghijklmnopqrstuvwxyz{|~ 4 ;     \ Last character different
1445 T{ _`abcdefghijklmnopqrstuvwxyz{|~ -> 4 }T
1446 T{ _`abcdefghijklmnopqrstuvwxyz{|} -> 3 }T
1447
1448 \ -----------------------------------------------------------------------------
1449 TESTING FIND with a zero length string and a non-existent word
1450
1451 CREATE EMPTYSTRING 0 C,
1452 : EMPTYSTRING-FIND-CHECK ( c-addr 0 | xt 1 | xt -1 -- t|f )
1453     DUP IF ." FIND returns a TRUE value for an empty string!" CR THEN
1454     0= SWAP EMPTYSTRING = = ;
1455 T{ EMPTYSTRING FIND EMPTYSTRING-FIND-CHECK -> <TRUE> }T
1456
1457 CREATE NON-EXISTENT-WORD   \ Same as in exceptiontest.fth
1458        15 C, CHAR $ C, CHAR $ C, CHAR Q C, CHAR W C, CHAR E C, CHAR Q C,
1459    CHAR W C, CHAR E C, CHAR Q C, CHAR W C, CHAR E C, CHAR R C, CHAR T C,
1460    CHAR $ C, CHAR $ C,
1461 T{ NON-EXISTENT-WORD FIND -> NON-EXISTENT-WORD 0 }T
1462
1463 \ -----------------------------------------------------------------------------
1464 TESTING IF ... BEGIN ... REPEAT (unstructured)
1465
1466 T{ : UNS1 DUP 0 > IF 9 SWAP BEGIN 1+ DUP 3 > IF EXIT THEN REPEAT ; -> }T
1467 T{ -6 UNS1 -> -6 }T
1468 T{  1 UNS1 -> 9 4 }T
1469
1470 \ -----------------------------------------------------------------------------
1471 TESTING DOES> doesn't cause a problem with a CREATEd address
1472
1473 : MAKE-2CONST DOES> 2@ ;
1474 T{ CREATE 2K 3 , 2K , MAKE-2CONST 2K -> ' 2K >BODY 3 }T
1475
1476 \ -----------------------------------------------------------------------------
1477 TESTING ALLOT ( n -- ) where n <= 0
1478
1479 T{ HERE 5 ALLOT -5 ALLOT HERE = -> <TRUE> }T
1480 T{ HERE 0 ALLOT HERE = -> <TRUE> }T
1481
1482 \ -----------------------------------------------------------------------------
1483
1484 CR .( End of additional Core tests) \ "
1485
1486 \ -----------------------------------------------------------------------------
1487 TESTING TO VALUE :NONAME IS DEFER
1488
1489 T{  111 VALUE v1 -> }T
1490 T{ -999 VALUE v2 -> }T
1491 T{ v1 ->  111 }T
1492 T{ v2 -> -999 }T
1493 T{ 222 TO v1 -> }T
1494 T{ v1 -> 222 }T
1495 T{ : vd1 v1 ; -> }T
1496 T{ vd1 -> 222 }T
1497 T{ : vd2 TO v2 ; -> }T
1498 T{ v2 -> -999 }T
1499 T{ -333 vd2 -> }T
1500 T{ v2 -> -333 }T
1501 T{ v1 ->  222 }T
1502
1503 VARIABLE nn1
1504 VARIABLE nn2
1505 T{ :NONAME 1234 ; nn1 ! -> }T
1506 T{ :NONAME 9876 ; nn2 ! -> }T
1507 T{ nn1 @ EXECUTE -> 1234 }T
1508 T{ nn2 @ EXECUTE -> 9876 }T
1509
1510 T{ :NONAME ( n -- 0,1,..n ) DUP IF DUP >R 1- RECURSE R> THEN ;
1511    CONSTANT RN1 -> }T
1512 T{ 0 RN1 EXECUTE -> 0 }T
1513 T{ 4 RN1 EXECUTE -> 0 1 2 3 4 }T
1514
1515 :NONAME  ( n -- n1 )    \ Multiple RECURSEs in one definition
1516    1- DUP
1517    CASE 0 OF EXIT ENDOF
1518         1 OF 11 SWAP RECURSE ENDOF
1519         2 OF 22 SWAP RECURSE ENDOF
1520         3 OF 33 SWAP RECURSE ENDOF
1521         DROP ABS RECURSE EXIT
1522    ENDCASE
1523 ; CONSTANT RN2
1524
1525 T{  1 RN2 EXECUTE -> 0 }T
1526 T{  2 RN2 EXECUTE -> 11 0 }T
1527 T{  4 RN2 EXECUTE -> 33 22 11 0 }T
1528 T{ 25 RN2 EXECUTE -> 33 22 11 0 }T
1529
1530
1531 T{ DEFER defer5 -> }T
1532 T{ : is-defer5 IS defer5 ; -> }T
1533 T{ ' * IS defer5 -> }T
1534 T{ 2 3 defer5 -> 6 }T
1535 T{ ' + is-defer5 -> }T
1536 T{ 1 2 defer5 -> 3 }T
1537
1538 \ -----------------------------------------------------------------------------
1539 TESTING MARKER   (contributed by James Bowman)
1540
1541 T{ : MA? BL WORD FIND NIP 0<> ; -> }T
1542 T{ MARKER MA0 -> }T
1543 T{ : MA1 111 ; -> }T
1544 T{ MARKER MA2 -> }T
1545 T{ : MA1 222 ; -> }T
1546 T{ MA? MA0 MA? MA1 MA? MA2 -> TRUE TRUE TRUE }T
1547 T{ MA1 MA2 MA1 -> 222 111 }T
1548 T{ MA? MA0 MA? MA1 MA? MA2 -> TRUE TRUE FALSE }T
1549 T{ MA0 -> }T
1550 T{ MA? MA0 MA? MA1 MA? MA2 -> FALSE FALSE FALSE }T
1551
1552 ECHO
1553 CORETESTSUCCESS