OSDN Git Service

V2.0
authorJean-Michel THOORENS <jeanmichel.thoorens@gmail.com>
Fri, 13 Oct 2017 18:29:17 +0000 (20:29 +0200)
committerJean-Michel THOORENS <jeanmichel.thoorens@gmail.com>
Fri, 13 Oct 2017 18:29:17 +0000 (20:29 +0200)
added FIXPOINT numbers

43 files changed:
ADDON/ARITHMETIC.asm
ADDON/FixPoint.asm [new file with mode: 0644]
ADDON/UTILITY.asm
CHIPSTICK_FR2433_115200bds.txt
CHIPSTICK_FR2433_921600bds.txt
FastForthWords.txt
MSP430_FORTH/ANS_COMP.f
MSP430_FORTH/BOOT.f
MSP430_FORTH/CORETEST.4th
MSP430_FORTH/FixPoint.f [new file with mode: 0644]
MSP430_FORTH/MSP_EXP430FR5994.pat
MSP430_FORTH/PROG100k.f
MSP430_FORTH/RC5toLCD.f
MSP430_FORTH/RTC.f
MSP430_FORTH/SD_TEST.f
MSP430_FORTH/SD_TOOLS.f
MSP430_FORTH/TESTASM.F
MSP430_FORTH/TSTWORDS.4th
MSP430_FORTH/UTILITY.f
MSP_EXP430FR4133_115200bds.txt
MSP_EXP430FR4133_921600bds.txt
MSP_EXP430FR5739_115200bds.txt
MSP_EXP430FR5739_6Mbds.txt [new file with mode: 0644]
MSP_EXP430FR5739_921600bds.txt
MSP_EXP430FR5969_115200Bds.txt
MSP_EXP430FR5969_921600bds.txt
MSP_EXP430FR5994_115200bds_SD_BOOT.txt
MSP_EXP430FR5994_115200bds_SD_CARD.txt
MSP_EXP430FR5994_921600bds_SD_BOOT.txt
MSP_EXP430FR5994_921600bds_SD_CARD.txt
MSP_EXP430FR6989_115200bds.txt
MSP_EXP430FR6989_921600bds.txt
README.md
config/gema/FastForthREGtoTI.pat
config/gema/MSP430FR2x4x_FastForth.pat
config/gema/MSP430FR57xx_FastForth.pat
config/gema/MSP430FR5x6x_FastForth.pat
forthMSP430FR.asm
forthMSP430FR_SD_ACCEPT.asm
forthMSP430FR_SD_INIT.asm
forthMSP430FR_SD_LOAD.asm
forthMSP430FR_SD_RW.asm
howto.md

index 45c6f6a..b22e25c 100644 (file)
 ; along with this program.  If not, see <http://www.gnu.org/licenses/>.
 
 
+    .IFNDEF ARITHMETIC
+ARITHMETIC
+    .ENDIF
+
     .IFDEF MPY
 
 ;https://forth-standard.org/standard/core/UMTimes
diff --git a/ADDON/FixPoint.asm b/ADDON/FixPoint.asm
new file mode 100644 (file)
index 0000000..1f11926
--- /dev/null
@@ -0,0 +1,272 @@
+
+
+    FORTHWORD "{FIXPOINT}"
+    mNEXT
+
+; https://forth-standard.org/standard/core/HOLDS
+; Adds the string represented by addr u to the pictured numeric output string
+; compilation use: <# S" string" HOLDS #>
+; free chars area in the 32+2 bytes HOLD buffer = {26,23,2} chars with a 32 bits sized {hexa,decimal,binary} number.
+; (2 supplementary bytes are room for sign - and decimal point)
+; perfect to display all a line on LCD 2x20 chars...
+; C HOLDS    addr u --
+    FORTHWORD "HOLDS"
+HOLDS       MOV @PSP+,X     ; 2
+HOLDS1      ADD TOS,X       ; 1 src
+            MOV &HP,Y       ; 3 dst
+HOLDSLOOP   SUB #1,X        ; 1 src-1
+            SUB #1,TOS      ; 1 cnt-1
+            JLO HOLDSNEXT   ; 2
+            SUB #1,Y        ; 1 dst-1
+            MOV.B @X,0(Y)   ; 4
+            JMP HOLDSLOOP   ; 2
+HOLDSNEXT   MOV Y,&HP       ; 3
+            MOV @PSP+,TOS   ; 2
+            mNEXT            ; 4  15 words
+
+        FORTHWORD "F+"      ; -- d1lo d1hi d2lo d2hi
+        ADD @PSP+,2(PSP)    ; -- sumlo  d1hi d2hi
+        ADDC @PSP+,TOS      ; -- sumlo sumhi
+        MOV @IP+,PC
+
+        FORTHWORD "F-"      ; -- d1lo d1hi d2lo d2hi
+        SUB @PSP+,2(PSP)    ; -- diflo d1hi d2hi
+        SUBC TOS,0(PSP)     ; -- diflo difhi d2hi
+        MOV @PSP+,TOS
+        MOV @IP+,PC
+
+
+       FORTHWORD "F/"      ; s15.16 / s15.16 --> s15.16 result
+FDIV    MOV 2(PSP),S        ;
+        XOR TOS,S           ; MDhi XOR MRhi --> S keep sign of result
+        MOV #0,T            ; DVDlo = 0
+        MOV 4(PSP),Y        ; DVDlo --> DVDhi
+        MOV 2(PSP),X        ; DVDhi --> REMlo
+        BIT #8000,X         ; MD < 0 ? 
+        JZ FDIV1            ; no
+        XOR #-1,Y           ; lo
+        XOR #-1,X           ; hi
+        ADD #1,Y            ; lo
+        ADDC #0,X           ; hi
+FDIV1   BIT #8000,TOS
+        JZ FDIV2
+        XOR #-1,0(PSP)
+        XOR #-1,TOS
+        ADD #1,0(PSP)
+        ADDC #0,TOS
+FDIV2   
+; unsigned 32-BIT DIVIDEND : 32-BIT DIVISOR --> 32-BIT QUOTIENT, 32-BIT REMAINDER
+; DVDhi|DVDlo : DVRhi|DVRlo --> QUOThi|QUOTlo, REMAINDER
+;            FORTHWORD "UD/MOD"
+;            MOV 4(PSP),T   ; DVDlo
+;            MOV 2(PSP),Y   ; DVDhi
+;            MOV #0,X       ; REMlo = 0
+Q6432       .word 1537h     ; PUSHM R7,R4
+            MOV #0,W        ; REMhi = 0
+            MOV @PSP,R6     ; DIVlo
+            MOV #32,R5      ; init loop count
+Q321        CMP TOS,W       ;1 REMhi <> DIVhi ?
+            JNZ Q322        ;2 yes
+            CMP R6,X        ;1 REMlo U< DIVlo ?
+Q322        JLO Q323        ;2 yes: REM U< DIV
+            SUB R6,X        ;1 no:  REMlo - DIVlo  (carry is set)
+            SUBC TOS,W      ;1      REMhi - DIVhi
+Q323        ADDC R7,R7      ;1 RLC quotLO
+            ADDC R4,R4      ;1 RLC quotHI
+            SUB #1,R5       ;1 Decrement loop counter
+            JN Q6432END     ;2 loop back if count>=0    
+            ADD T,T         ;1 RLA DVDlo
+            ADDC Y,Y        ;1 RLC DVDhi
+            ADDC X,X        ;1 RLC REMlo
+            ADDC W,W        ;1 RLC REMhi
+            JNC Q321        ; 
+            SUB R6,X        ;1 REMlo - DIVlo
+            SUBC TOS,W      ;1 REMhi - DIVhi
+            BIS #1,SR
+            JMP Q323
+Q6432END
+;            MOV X,4(PSP)   ; REMlo    
+;            MOV W,2(PSP)   ; REMhi
+            ADD #4,PSP      ; skip REMlo REMhi
+    
+            MOV R7,0(PSP)   ; QUOTlo
+            MOV R4,TOS      ; QUOThi
+            .word 1734h     ; POPM R4,R7
+;            MOV @IP+,PC    ; 33 words
+
+FDIVSGN AND #-1,S       ; clear V, set N
+        JGE FDIVEND     ; if positive
+        XOR #-1,0(PSP)
+        XOR #-1,TOS
+        ADD #1,0(PSP)
+        ADDC #0,TOS
+FDIVEND MOV @IP+,PC 
+
+    .IFDEF MPY ; hardware multiplier
+
+; F#S    Shi Qlo -- Shi 0   convert fractionnal part of S15Q16 fixed point number (direct order)
+    FORTHWORD "F#S"
+QUMS        SUB #2,PSP              ; -- Shi x Qlo
+            MOV TOS,0(PSP)          ; -- Shi Qlo x
+            MOV #4,T                ; -- Shi Qlo x      T = limit for base 16
+            CMP #10,&BASE
+            JNZ QUMS2
+            ADD #1,T                ;                   T = limit for base 10
+QUMS2       MOV #0,S                ;                   S = count
+QUMSLOOP    MOV @PSP,&MPY           ;                   Load 1st operand
+            MOV &BASE,&OP2          ;                   Load 2nd operand
+            MOV &RES0,0(PSP)        ; -- Shi Qlo' x     low result on stack
+            MOV &RES1,TOS           ; -- Shi Qlo' digit high result in TOS
+            CMP #10,TOS             ;                   digit to char
+            JLO QUMS2CHAR
+            ADD #7,TOS
+QUMS2CHAR   ADD #30h,TOS
+            MOV.B TOS,HOLDS_ORG(S)  ; -- Shi Qlo' char  char to string
+            ADD #1,S                ;                   count+1
+            CMP T,S                 ;2                  count=limit ?
+            JLO QUMSLOOP            ;                   loop back if U<
+            MOV T,TOS               ; -- Shi Qlo' limit
+            MOV #0,0(PSP)           ; -- Shi 0 limit
+            MOV #HOLDS_ORG,X        ; -- Shi 0 len      X= org
+            JMP HOLDS1
+            
+            FORTHWORD "F*"      ; signed s15.16 multiplication --> s15.16 result
+            MOV 4(PSP),&MPYS32L ; 5 Load 1st operand
+            MOV 2(PSP),&MPYS32H ; 5
+            MOV @PSP,&OP2L      ; 4 load 2nd operand
+            MOV TOS,&OP2H       ; 3
+            ADD #4,PSP          ; 1 remove 2 cells
+            NOP2                ; 2
+            NOP2                ; 2 wait 8 cycles after write OP2L before reading RES1
+            MOV &RES1,0(PSP)    ; 5
+            MOV &RES2,TOS       ; 5
+            MOV @IP+,PC
+
+    .ELSE ; no hardware multiplier
+
+; F#S    Shi Qlo -- Shi 0   convert fractionnal part of S15Q16 fixed point number (direct order)
+    FORTHWORD "F#S"
+; create a counted string at PAD+CPL+2
+; with digit high result of Qdlo * base
+; UMstar use S,T,W,X,Y
+; mov &BASE,S , jmp UMSTAR1 without hardware MPY
+; result: digit in tos (high) to convert in digit
+; 
+QUMS        SUB #2,PSP              ; -- Shi x Qlo
+            MOV TOS,0(PSP)          ; -- Shi Qlo x
+            MOV #4,TOS              ; -- Shi Qlo x      T = limit for base 16
+            CMP #10,&BASE
+            JNZ QUMS2
+            ADD #1,TOS              ;                   T = limit for base 10
+QUMS2       .word 151Eh             ;                   PUSHM TOS,IP  TOS=limit IP count
+            MOV #QUMSNEXT,IP        ; -- Shi Qlo x
+            MOV #0,S
+QUMSLOOP    PUSH S                  ;                   R-- limit IP count
+            MOV &BASE,TOS           ; -- Shi Qlo base
+            MOV #UMSTAR,PC 
+QUMSNEXT    FORTHtoASM              ; -- Shi QloRem digit
+            SUB #2,IP
+            CMP #10,TOS             ;                   digit to char
+            JLO QUMS2CHAR
+            ADD #7,TOS
+QUMS2CHAR   ADD #30h,TOS
+            MOV @RSP+,S             ;                       R-- limit IP
+            MOV.B TOS,HOLDS_ORG(S)  ; -- Shi Qlorem char    char to stringto string
+            ADD #1,S                ;                       count+1
+            CMP 2(RSP),S            ;3                      count=limit ?
+            JLO QUMSLOOP            ;                       no
+            .word 171Dh             ; -- Shi Qlorem limit   POPM IP,TOS ;
+            MOV #0,0(PSP)           ; -- Shi 0 limit
+            MOV #HOLDS_ORG,X        ; -- Shi 0 len          X= org
+            JMP HOLDS1
+            
+; unsigned multiply 32*32 = 64
+; don't use S reg (keep sign)
+        FORTHWORD "UDM*"
+UDMS    PUSH IP         ; 3
+        .word 1537h     ; 6 PUSHM R7,R4     save R7 ~ R4 regs
+        MOV 4(PSP),IP   ; 3 MDlo
+        MOV 2(PSP),T    ; 3 MDhi
+        MOV @PSP,W      ; 2 MRlo
+        MOV #0,R4       ; 1 MDLO=0
+        MOV #0,R5       ; 1 MDHI=0
+        MOV #0,4(PSP)   ; 3 RESlo=0
+        MOV #0,2(PSP)   ; 3 REShi=0
+        MOV #0,R6       ; 1 RESLO=0
+        MOV #0,R7       ; 1 RESHI=0
+        MOV #1,X        ; 1 BIT TEST REGlo
+        MOV #0,Y        ; 1 BIT TEST2 REGhi
+UDMS1   CMP #0,X
+        JNZ UDMS2       ; 2
+        BIT Y,TOS       ; 1 TEST ACTUAL BIT MRhi
+        JMP UDMS3
+UDMS2   BIT X,W         ; 1 TEST ACTUAL BIT MRlo
+UDMS3   JZ UDMS4        ; 
+        ADD IP,4(PSP)   ; 3 IF 1: ADD MDlo TO RESlo
+        ADDC T,2(PSP)   ; 3      ADDC MDhi TO REShi
+        ADDC R4,R6      ; 1      ADDC MDLO TO RESLO        
+        ADDC R5,R7      ; 1      ADDC MDHI TO RESHI
+UDMS4   ADD IP,IP       ; 1 (RLA LSBs) MDlo *2
+        ADDC T,T        ; 1 (RLC MSBs) MDhi *2
+        ADDC R4,R4      ; 1 (RLA LSBs) MDLO *2
+        ADDC R5,R5      ; 1 (RLC MSBs) MDHI *2
+        ADD X,X         ; 1 (RLA) NEXT BIT TO TEST
+        ADDC Y,Y        ; 1 (RLA) NEXT BIT TO TEST
+        JLO UDMS1       ; 2 IF BIT IN CARRY: FINISHED    32 * 16~ (average loop)
+        MOV R6,0(PSP)   ; 3
+        MOV R7,TOS      ; 1 high result in TOS
+        .word 1734h     ; 6  POPM R4,R7  restore R4 ~ R7 regs
+        MOV @RSP+,IP    ; 2
+        MOV @IP+,PC
+
+
+        FORTHWORD "F*"      ; s15.16 * s15.16 --> s15.16 result
+        MOV 2(PSP),S        ;
+        XOR TOS,S           ; MDhi XOR MRhi --> S keep sign of result
+        BIT #8000,2(PSP)    ; MD < 0 ? 
+        JZ FSTAR1           ; no
+        XOR #-1,2(PSP)
+        XOR #-1,4(PSP)
+        ADD #1,4(PSP)
+        ADDC #0,2(PSP)
+FSTAR1   mDOCOL
+        .word DABBS,UDMS
+        FORTHtoASM          ; -- RES0 RES1 RES2 RES3 
+        MOV @RSP+,IP
+        MOV @PSP+,TOS       ; -- RES0 RES1 RES2
+        MOV @PSP+,0(PSP)    ; -- RES1 RES2
+        JMP FDIVSGN         ; goto end of F/ to process sign of result
+
+
+    .ENDIF
+
+        FORTHWORD "F."      ; display a s15q16 number
+        mDOCOL
+        .word   LESSNUM,DUP,TOR,DABBS
+        .word   SWAP,QUMS,lit,',',HOLD,NUMS
+        .word   RFROM,SIGN,NUMGREATER,TYPE,SPACE,EXIT
+        
+        FORTHWORD "S>F"     ; convert a signed number to a s15q16 (signed) number
+        SUB #2,PSP
+        MOV #0,0(PSP)
+        MOV @IP+,PC
+
+        FORTHWORD "D>F"     ; convert a signed double number (-32768|32767) to a s15q16 (signed) number
+        MOV @PSP,TOS
+        MOV #0,0(PSP)
+        MOV @IP+,PC
+
+; https://forth-standard.org/standard/double/TwoCONSTANT
+; udlo/dlo/Flo udhi/dhi/Shi --         create a double or a s15q16 CONSTANT
+        FORTHWORD "2CONSTANT"
+        mDOCOL
+        .word CREATE
+        .word SWAP,COMMA,COMMA  ; compile udlo/dlo/Flo then udhi/dhi/Shi
+        .word DOES
+        FORTHtoASM
+        SUB #2,PSP          ; -- x PFA
+        MOV @TOS+,0(PSP)    ; -- lo PFA+2
+        MOV @TOS,TOS        ; -- lo hi
+        MOV @RSP+,IP
+        MOV @IP+,PC
+
index da77e98..fe56b25 100644 (file)
@@ -163,26 +163,28 @@ UDOTR       mDOCOL
             .word   RFROM,OVER,MINUS,lit,0,MAX,SPACES,TYPE
             .word   EXIT
 
+
 ;https://forth-standard.org/standard/tools/DUMP
             FORTHWORD "DUMP"
 DUMP        PUSH    IP
-            PUSH    &BASE
-            MOV     #10h,&BASE
-            ADD     @PSP,TOS                ; compute end address
-            AND     #0FFF0h,0(PSP)          ; compute start address
+            PUSH    &BASE                   ; save current base
+            MOV     #10h,&BASE              ; HEX base
+            ADD     @PSP,TOS                ; -- ORG END
             ASMtoFORTH
-            .word   SWAP,xdo                ; generate line
+            .word   SWAP,OVER,OVER          ; -- END ORG END ORG
+            .word   UDOT,LIT,1,MINUS,UDOT   ; -- END ORG          display org end-1
+            .word   LIT,0FFF0h,ANDD,xdo     ; -- END ORG_modulo_16
 DUMP1       .word   CR
             .word   II,lit,7,UDOTR,SPACE    ; generate address
             .word   II,lit,10h,PLUS,II,xdo  ; display 16 bytes
 DUMP2       .word   II,CFETCH,lit,3,UDOTR
-            .word   xloop,DUMP2
+            .word   xloop,DUMP2             ; bytes display loop
             .word   SPACE,SPACE
             .word   II,lit,10h,PLUS,II,xdo  ; display 16 chars
 DUMP3       .word   II,CFETCH
             .word   lit,7Eh,MIN,FBLANK,MAX,EMIT
-            .word   xloop,DUMP3
-            .word   lit,10h,xploop,DUMP1
-            .word   RFROM,FBASE,STORE
+            .word   xloop,DUMP3             ; chars display loop
+            .word   lit,10h,xploop,DUMP1    ; line loop
+            .word   RFROM,FBASE,STORE       ; restore current base
             .word   EXIT
 
index f91ead2..73aeab4 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A C8 80 3E 80 04 05 00 18 00 AE DE 7E D5
-4A C8 5C C8 00 00 00 00
+10 00 A4 C8 80 3E 80 04 05 00 18 00 6E E1 70 D6
+64 C8 76 C8 00 00 00 00
 @21AA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,393 +51,437 @@ AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 21 60 C6 04 42 41 53 45 00 85 12 DA 21 C8 C4
 05 53 54 41 54 45 85 12 B6 21 74 C6 02 42 4C 00
 85 12 20 00 B4 C5 02 3C 23 00 B2 40 AA 21 AA 21
-30 4D 8C C6 01 23 5B 42 DA 21 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 C4 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 21 18 42
-AA 21 C8 4A 00 00 30 4D A4 C6 02 23 53 00 87 12
-A6 C6 04 C7 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA C6 02 23 3E 00 9F 42 AA 21 00 00 3E 40
-AA 21 2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 C6 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 C6 02 55 2E 00 87 12
-9A C6 34 C4 00 00 FE C6 18 C7 70 C9 38 C9 2A C4
-68 C5 02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5
-FE C6 9A C4 3C C7 18 C7 70 C9 38 C9 2A C4 50 C5
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 C7 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 21 30 4D 5C C5 05 41 4C 4C 4F 54 82 5E C4 21
-3E 4F 30 4D 14 C7 02 43 2C 00 1A 42 C4 21 CA 4E
-00 00 92 53 C4 21 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A C8 92 B3 1C 05 FD 27 1E 42 0C 05 B0 12
-5C C8 30 4D 92 C7 05 28 4B 45 59 29 18 42 0C 05
-EC 3F 38 C6 03 4B 45 59 30 40 EC C7 A6 C7 06 41
-43 43 45 50 54 00 30 40 16 C8 E6 C7 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 C8 3B 40 92 C8 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC C8 92 B3 1C 05 05 24 18 42 0C 05 38 90 0A 00
-04 20 21 53 39 40 76 C8 4D 15 B2 40 11 00 0E 05
-E2 C2 22 02 A2 B3 1C 05 FD 27 30 41 B2 40 13 00
-0E 05 E2 D2 22 02 A2 B3 1C 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 C8 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-0C 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 0E 05 A2 B3 1C 05 FD 27 30 4D BE C8 2D 83
-92 B3 1C 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C C8 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 C7 04 45 4D 49 54 00 30 40 DE C8
-E6 C8 04 45 43 48 4F 00 B2 40 82 48 B0 C8 30 4D
-80 C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 C8
-30 4D D6 C8 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 C7 02 43 52 00 30 40 1A C9
-36 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A C9 EF 3F 5C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A C7 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 C5
-28 C6 08 C5 EC C8 12 C6 80 C9 2A C4 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21 30 4D
-A2 C9 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 21
-30 4D 46 C9 82 53 22 00 87 12 34 C4 8C C9 EE CB
-BC C9 34 C4 22 00 0C CA AA C9 DC C9 3D 41 6E 4E
-1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63 C4 21
-30 4D 02 C9 82 2E 22 00 87 12 C8 C9 34 C4 70 C9
-EE CB 2A C4 00 00 04 57 4F 52 44 00 3C 40 BE 21
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 21
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A C6 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 21 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 21 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C CB 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E CB 2F 53
-0E 93 2C 17 82 4C DA 21 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 C8 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-C4 21 A2 53 C4 21 8A 4E 00 00 3E 4F 30 4D EC CB
-87 4C 49 54 45 52 41 4C 82 93 B6 21 0F 24 1A 42
-C4 21 A2 52 C4 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 21 B2 4F C0 21 3E 4F 82 43
-C2 21 87 12 90 C6 0C CA 5A CC 3D 40 66 CC 05 23
-3D 41 3E 4F 30 4D 68 CC 0A 4E 3E 4F 3D 40 7E CC
-5A 27 3D 40 54 CC 1A E2 B6 21 B9 27 B3 23 80 CC
-3E 4F 3D 40 54 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE CE CD 3F DC CB 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 44 CC BC CC B2 41 C2 21
-B2 41 C0 21 B2 41 BE 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-B6 21 82 43 08 18 B0 12 2A C4 8C C9 03 0D 6F 6B
-70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 38 C9 44 CC
-DE C4 92 C5 8C C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E CD 34 C4 30 FF 98 C7 D2 C5 8C C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E CD 86 C6
-F2 C4 E0 C5 EA CC 8C C9 03 0D 20 20 DC C5 F0 CC
-FE C7 05 41 42 4F 52 54 3F 40 80 20 C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 C8 B0 12 62 D2 A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 8C C9 04 1B 5B 37
-6D 00 70 C9 70 C9 8C C9 04 1B 5B 30 6D 00 70 C9
-70 D1 B2 D1 B8 D1 00 D2 AA C9 48 CD 42 CD 86 41
-42 4F 52 54 22 00 87 12 C8 C9 34 C4 4E CD EE CB
-2A C4 64 CA 01 27 87 12 90 C6 0C CA 6A CA E0 C5
-D4 CD 2A C4 88 CC 96 C6 81 5C 92 42 BE 21 C2 21
-30 4D 00 00 81 5B 82 43 B6 21 30 4D D8 CD 01 5D
-B2 43 B6 21 30 4D E4 CD 83 5B 27 5D 87 12 C6 CD
-34 C4 34 C4 EE CB EE CB 2A C4 BE 4F 02 00 3E 4F
-30 4D 14 C9 82 49 53 00 87 12 86 C6 F2 C4 E0 C5
-2C CE FC CD 34 C4 0A CE EE CB 2A C4 C6 CD 0A CE
-2A C4 14 CE 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 21 FA D0 80 00 00 00 30 4D C4 C9 87 52 45 43
-55 52 53 45 19 42 C4 21 99 42 B2 21 00 00 A2 53
-C4 21 30 4D CE CC 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 C6 0C CA 6A CA 54 C4 E0 C5 D4 CD 92 C5
-E0 C5 90 CE 34 C4 34 C4 EE CB EE CB 34 C4 EE CB
-EE CB 2A C4 82 9F B4 21 A0 24 87 12 8C C9 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 CD
-87 12 E8 C9 90 C6 0C CA BA CE 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 21 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 21 82 49 B0 21 82 4A B2 21 2A 52 82 4A
-C4 21 3E 4F 3D 41 30 41 06 CA 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 CE BA 40 86 12 FC FF 71 3C
-30 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 CE
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 CF
-06 43 52 45 41 54 45 00 B0 12 B0 CE BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E CC 05 44 4F 45 53 3E
-1A 42 B2 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A CF 05 44 45 46 45 52 B0 12 B0 CE BA 40
-30 40 FC FF BA 40 50 CF FE FF 3B 3C F8 CD 01 3A
-B0 12 B0 CE BA 40 87 12 FC FF A2 83 C4 21 B2 43
-B6 21 82 4F B4 21 30 4D 6E CF 81 3B 82 93 B6 21
-24 27 87 12 34 C4 2A C4 EE CB 94 CE E6 CD 2A C4
-A2 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 CC 06 4D 41 52 4B 45 52 00 B0 12 B0 CE BA 40
-84 12 FC FF BA 40 A0 CF FE FF 9A 42 C6 21 00 00
-28 83 8A 48 02 00 A2 52 C4 21 18 42 AE 21 19 42
-B0 21 A8 49 FE FF 89 48 00 00 30 4D 34 CE 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 21 A2 52 C4 21
-BE 40 E0 C5 00 00 2E 53 30 4D 54 CF 84 45 4C 53
-45 00 A2 52 C4 21 1A 42 C4 21 BA 40 DC C5 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A C9 84 54 48 45
-4E 00 9E 42 C4 21 00 00 3E 4F 30 4D 20 CF 85 42
-45 47 49 4E 30 40 98 C7 2C D0 85 55 4E 54 49 4C
-39 40 E0 C5 A2 52 C4 21 1A 42 C4 21 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE CD 85 41 47 41 49 4E
-39 40 DC C5 EF 3F EA CE 85 57 48 49 4C 45 87 12
-F2 CF 78 C4 2A C4 4C CE 86 52 45 50 45 41 54 00
-87 12 70 D0 32 D0 2A C4 0C D0 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 21 1E 42 C4 21 BE 40 F0 C5
-FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D
-B2 CF 84 4C 4F 4F 50 00 39 40 12 C6 A2 52 C4 21
-1A 42 C4 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20
-A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 C7 85 2B 4C 4F 4F 50 39 40 00 C6
-E5 3F C2 D0 85 4C 45 41 56 45 1A 42 C4 21 BA 40
-22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00 C4 21
-A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D
-04 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 C4 CA 21 FA C4 2A C4
-84 12 68 D1 C4 D5 9A D5 32 DE C4 CD 82 D5 F6 D0
-FC DD 34 DD 24 D2 3E D2 0A DE 52 DD 46 C5 C8 DC
-EE CD 48 DD 00 00 3A 40 0E 00 39 40 CA 21 38 40
-CC 21 D9 3F 3A 40 0E 00 39 40 CC 21 38 40 CA 21
-CC 3F 82 43 CC 21 30 4D 92 42 CA 21 C8 21 30 4D
-C2 D1 3A 4E 82 4A C6 21 2E 4E 82 4E C4 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 CE 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 D1 7E D5 AE DE 88 D0 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 D2 92 42 0C 18 06 D2
-EF 3F F6 D1 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 21 04 D2 92 42 C4 21 06 D2 30 4D 0A D2 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 21 0E 18 92 42
-C4 21 0C 18 EC 3F B2 40 F0 D3 52 D4 B2 40 84 C8
-78 C8 B2 40 DE C8 EE C8 B2 40 1A C9 2E C9 B2 40
-EC C7 FA C7 82 43 40 DC 82 43 4C DC 82 43 58 DC
-82 43 88 DC 82 43 94 DC 82 43 A0 DC B2 40 0A 00
-DA 21 30 41 78 D0 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12 56 D2
-B2 40 AE DE 0C 18 B2 40 7E D5 0E 18 AB 3F 3E D0
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A CF 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 D2 86 5B 45 4C 53 45 5D 00 87 12 34 C4 01 00
-90 C6 0C CA 36 CC 44 C4 E0 C5 78 D3 8A C4 8A C4
-8C C9 04 5B 49 46 5D 00 C8 D2 EA C5 36 D3 CA CA
-2C C5 DC C5 6C D3 8A C4 8A C4 8C C9 06 5B 45 4C
-53 45 5D 00 C8 D2 EA C5 5A D3 CA CA FC D2 44 C4
-E0 C5 56 D3 2C C5 DC C5 6C D3 8C C9 06 5B 54 48
-45 4E 5D 00 C8 D2 EA C5 6C D3 FC D2 54 C4 EA C5
-74 D3 2A C4 DC C5 10 D3 CA CA 8C C9 03 0D 6B 6F
-70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 8E D3 82 43
-C2 21 82 4E BE 21 B2 4F C0 21 3E 4F 3D 40 10 D3
-30 4D 02 D3 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 D3 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 C6 0C CA 6A CA 6C C4 86 C5 2A C4 B4 D3
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 C6 0C CA
-6A CA 6C C4 2A C4 EE CF 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C C9 05 0D 1B 5B 37 6D 70 C9
-82 C7 8C C9 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 C9 34 C4
-30 FF 98 C7 28 C5 4E C7 8C C9 0B 62 79 74 65 73
-20 66 72 65 65 20 5A CD 96 D2 04 57 41 52 4D 00
-30 40 F0 D3 C0 D2 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A CC 01 B2 43 02 02 B2 D3 06 02
-F2 D0 06 00 24 02 F2 D3 26 02 F2 40 FD 00 22 02
-F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
-B2 40 00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00
-82 01 B2 40 E9 01 84 01 39 40 40 00 B2 D0 10 00
-86 01 92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03
-38 43 18 83 FE 23 19 83 FB 23 3A 40 62 D4 39 40
-DA FF 89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF
-B2 40 18 00 0A 18 31 40 E0 20 3F 40 80 20 37 40
-00 C4 36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40
-0A 00 DA 21 B2 43 AC 21 92 C3 30 01 18 42 08 18
-F2 B0 10 00 00 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 00 05 B2 42 06 05 B2 40 A1 F7 08 05
-F2 D0 30 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40
-58 D5 18 42 08 18 38 90 0A 00 A8 26 38 90 16 00
-A5 2E 28 93 5F 22 54 26 50 D4 84 12 68 D1 A4 DB
-50 DC 58 DB A4 DC 1E DB D8 DB 22 D8 00 00 14 DB
-C4 DB 76 DB B4 DB 32 D9 00 00 00 00 B6 DC 94 D1
-E8 D3 85 48 49 32 4C 4F 87 12 98 C7 06 D0 EE CB
-E6 CD 96 D1 5A D5 2A C4 56 D4 04 43 4F 44 45 00
-B0 12 B0 CE A2 82 C4 21 87 12 82 CF DC C5 92 D5
-00 00 07 45 4E 44 43 4F 44 45 87 12 A4 D1 94 CE
-2A C4 6A D0 03 41 53 4D 92 42 C8 21 B8 21 B2 40
-5E D5 C8 21 E5 3F B2 D5 06 45 4E 44 41 53 4D 00
-92 42 B8 21 C8 21 E9 3F 00 00 05 43 4F 4C 4F 4E
-1A 42 C4 21 BA 40 87 12 00 00 A2 53 C4 21 B2 43
-B6 21 30 40 A4 D1 00 00 05 4C 4F 32 48 49 1A 42
-C4 21 BA 40 B0 12 00 00 BA 40 2A C4 02 00 A2 52
-C4 21 ED 3F 38 40 BE 21 39 48 2A 48 09 5A 1A 52
-C2 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
-82 4A C2 21 30 4D B0 12 2A C4 0C CA 6A CA EA C5
-5A D6 26 CB E0 C5 D4 CD 7C D6 5C D6 29 4E 39 90
-86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
-02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
-C4 21 A2 53 C4 21 89 4E 00 00 3E 40 29 00 12 12
-C2 21 92 53 C2 21 B0 12 2A C4 0C CA 26 CB E0 C5
-AE D6 A4 D6 21 53 3E 90 10 00 BB 2D 30 41 B0 D6
-B2 41 C2 21 22 D3 30 41 87 12 90 C6 24 D6 C0 D6
-82 43 BC 21 92 42 C4 21 BA 21 A2 53 C4 21 0A 4E
-3E 4F FA 90 23 00 00 00 34 20 92 53 C2 21 B0 12
-46 D6 0E 93 04 20 B2 40 00 03 BC 21 27 3C 1E 93
-04 20 B2 40 10 03 BC 21 21 3C 2E 93 04 20 B2 40
-20 03 BC 21 1B 3C 2E 92 04 20 B2 40 20 02 BC 21
-15 3C 3E 92 04 20 B2 40 30 02 BC 21 0F 3C 3E 93
-04 20 B2 40 30 03 BC 21 09 3C B2 40 30 00 BC 21
-19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 4F 3D 41
-30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 BC 21
-92 53 C2 21 30 12 30 D7 76 3F FA 90 40 00 00 00
-1A 20 B2 40 20 00 BC 21 92 53 C2 21 B0 12 8E D6
-0E 20 B2 50 10 00 BC 21 3E 40 2B 00 B0 12 8E D6
-32 24 92 92 BE 21 C2 21 02 24 92 53 C2 21 8E 10
-82 5E BC 21 D3 3F B0 12 8E D6 F9 23 B2 50 10 00
-BC 21 3E 40 28 00 B0 12 46 D6 30 12 80 D7 67 3F
-87 12 90 C6 24 D6 B8 D7 FE 90 26 00 00 00 3E 40
-20 00 04 20 B2 50 82 00 BC 21 C2 3F B0 12 8E D6
-DF 23 B2 50 80 00 BC 21 3E 40 28 00 B0 12 46 D6
-B0 12 7E D6 D5 23 3D 40 D4 CD 30 4D 70 C8 04 52
-45 54 49 00 87 12 34 C4 00 13 EE CB 2A C4 34 C4
-2C 00 B8 D6 B0 D7 08 D8 2E 4E 1E D2 BC 21 19 42
-BA 21 92 3F 08 D6 03 4D 4F 56 84 12 FE D7 00 40
-16 D8 05 4D 4F 56 2E 42 84 12 FE D7 40 40 00 00
-03 41 44 44 84 12 FE D7 00 50 30 D8 05 41 44 44
-2E 42 84 12 FE D7 40 50 3C D8 04 41 44 44 43 00
-84 12 FE D7 00 60 4A D8 06 41 44 44 43 2E 42 00
-84 12 FE D7 40 60 EE D7 04 53 55 42 43 00 84 12
-FE D7 00 70 68 D8 06 53 55 42 43 2E 42 00 84 12
-FE D7 40 70 76 D8 03 53 55 42 84 12 FE D7 00 80
-86 D8 05 53 55 42 2E 42 84 12 FE D7 40 80 EA D5
-03 43 4D 50 84 12 FE D7 00 90 A0 D8 05 43 4D 50
-2E 42 84 12 FE D7 40 90 D8 D5 04 44 41 44 44 00
-84 12 FE D7 00 A0 BA D8 06 44 41 44 44 2E 42 00
-84 12 FE D7 40 A0 AC D8 03 42 49 54 84 12 FE D7
-00 B0 D8 D8 05 42 49 54 2E 42 84 12 FE D7 40 B0
-E4 D8 03 42 49 43 84 12 FE D7 00 C0 F2 D8 05 42
-49 43 2E 42 84 12 FE D7 40 C0 FE D8 03 42 49 53
-84 12 FE D7 00 D0 0C D9 05 42 49 53 2E 42 84 12
-FE D7 40 D0 00 00 03 58 4F 52 84 12 FE D7 00 E0
-26 D9 05 58 4F 52 2E 42 84 12 FE D7 40 E0 58 D8
-03 41 4E 44 84 12 FE D7 00 F0 40 D9 05 41 4E 44
-2E 42 84 12 FE D7 40 F0 90 C6 B8 D6 5E D9 1A 42
-BC 21 B2 F0 70 00 BC 21 8A 10 3A F0 0F 00 82 DA
-BC 21 4A 3F 92 D8 03 52 52 43 84 12 58 D9 00 10
-76 D9 05 52 52 43 2E 42 84 12 58 D9 40 10 82 D9
-04 53 57 50 42 00 84 12 58 D9 80 10 90 D9 03 52
-52 41 84 12 58 D9 00 11 9E D9 05 52 52 41 2E 42
-84 12 58 D9 40 11 AA D9 03 53 58 54 84 12 58 D9
-80 11 00 00 04 50 55 53 48 00 84 12 58 D9 00 12
-C4 D9 06 50 55 53 48 2E 42 00 84 12 58 D9 40 12
-18 D9 04 43 41 4C 4C 00 84 12 58 D9 80 12 34 C4
-2C 00 B8 D6 B0 D7 F8 D9 59 42 BC 21 5A 42 BD 21
-82 4A BC 21 BE 90 00 15 00 00 02 20 0A 89 02 3C
-09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
-0E 4A 87 12 82 C7 8C C9 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 54 CD D2 D9 05 50 55 53 48 4D
-84 12 EE D9 00 15 3A DA 04 50 4F 50 4D 00 84 12
-EE D9 00 17 90 C6 24 D6 5A DA 82 43 BC 21 92 42
-C4 21 BA 21 A2 53 C4 21 92 53 C2 21 3E 40 2C 00
-B0 12 2A C4 0C CA 26 CB E0 C5 D4 CD B0 D7 80 DA
-0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
-B8 D9 04 52 52 43 4D 00 84 12 54 DA 50 00 92 DA
-04 52 52 41 4D 00 84 12 54 DA 50 01 A0 DA 04 52
-4C 41 4D 00 84 12 54 DA 50 02 AE DA 04 52 52 55
-4D 00 84 12 54 DA 50 03 85 12 00 3C BC DA 03 53
-3E 3D 85 12 00 38 CE DA 02 53 3C 00 85 12 00 34
-48 DA 03 30 3E 3D 85 12 00 30 E2 DA 02 30 3C 00
-85 12 00 30 00 00 02 55 3C 00 85 12 00 2C F6 DA
-03 55 3E 3D 85 12 00 28 EC DA 03 30 3C 3E 85 12
-00 24 0A DB 02 30 3D 00 85 12 00 20 7C C8 02 49
-46 00 1A 42 C4 21 8A 4E 00 00 A2 53 C4 21 0E 4A
-30 4D 00 DB 04 54 48 45 4E 00 1A 42 C4 21 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
-88 DA 00 00 30 4D C8 D8 04 45 4C 53 45 00 1A 42
-C4 21 BA 40 00 3C 00 00 A2 53 C4 21 2F 83 8F 4A
-00 00 E3 3F 34 DB 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C4 21 2A 83 0A 89 0A 11 3A 90 00 FE
-47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 21
-30 4D 4C D9 05 41 47 41 49 4E 87 12 C8 DA 7C DB
-2A C4 00 00 05 57 48 49 4C 45 87 12 22 DB 78 C4
-2A C4 D8 DA 06 52 45 50 45 41 54 00 87 12 C8 DA
-7C DB 3A DB 2A C4 00 00 03 4A 4D 50 87 12 C6 CD
-C8 DA 7C DB 2A C4 3E B0 00 10 03 20 3E E0 00 04
-30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
-3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
-E6 DB C6 CD 78 C4 7C DB 2A C4 1C DC 3D 41 08 4E
-3E 4F 2A 48 0A 93 04 20 98 42 C4 21 00 00 30 4D
-88 43 00 00 A4 3F E2 D9 03 42 57 31 84 12 1A DC
-00 00 38 DC 03 42 57 32 84 12 1A DC 00 00 44 DC
-03 42 57 33 84 12 1A DC 00 00 5C DC 3D 41 1A 42
-C4 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 21
-8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
-03 46 57 31 84 12 5A DC 00 00 80 DC 03 46 57 32
-84 12 5A DC 00 00 8C DC 03 46 57 33 84 12 5A DC
-00 00 98 DC 04 47 4F 54 4F 00 87 12 C8 DA C6 CD
-E4 CB 2A C4 08 DC 05 3F 47 4F 54 4F 87 12 E6 DB
-C6 CD E4 CB 2A C4 D0 D3 09 7B 55 54 49 4C 49 54
-59 7D 30 4D F4 C9 02 2E 53 00 8F 4E FE FF 0E 4F
-2E 83 8F 4E FA FF 3E 40 80 20 2E 83 8F 4E FC FF
-3F 80 06 00 2E 8F 0E 11 87 12 34 C4 3C 00 EC C8
-82 C7 34 C4 08 00 EC C8 34 C4 3E 00 EC C8 38 C9
-8A C4 8A C4 C4 C5 EA C5 20 DD 62 C4 62 C4 2A C4
-F0 C5 28 C6 F2 C4 4E C7 34 C4 02 00 00 C6 22 DD
-2A C4 D6 DC 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
-3E 40 E0 20 D3 3F D2 CA 01 3F 2E 4E 30 40 4E C7
-4A D4 05 57 4F 52 44 53 87 12 2C C9 34 C4 03 00
-4E C9 34 C4 CA 21 F2 C4 50 C6 34 C4 10 00 44 C4
-20 C5 38 D1 34 C4 00 00 44 C4 34 C4 10 00 44 C4
-20 C5 34 C4 00 00 F0 C5 44 C4 28 C6 50 C6 20 C5
-F2 C4 D2 C5 E0 C5 A6 DD 62 C4 62 C4 28 C6 44 C4
-50 C6 20 C5 F2 C4 34 C4 02 00 00 C6 88 DD 54 C4
-E0 C5 E8 DD 44 C4 34 C4 02 00 28 C5 F2 C4 9A C4
-50 C6 20 C5 FA C4 44 C4 36 CC 34 C4 7F 00 36 C5
-70 C9 08 C5 34 C4 0F 00 36 C5 34 C4 10 00 78 C4
-28 C5 4E C9 DC C5 74 DD 62 C4 2A C4 32 D1 03 4D
-41 58 2E 9F 07 38 2F 53 30 4D EE DD 03 4D 49 4E
-2E 9F F9 3B 3E 4F 30 4D 4A D0 03 55 2E 52 87 12
-B0 C4 9A C6 34 C4 00 00 A6 C6 FE C6 18 C7 BC C4
-8A C4 28 C5 34 C4 00 00 F2 DD 4E C9 70 C9 2A C4
-9A D0 04 44 55 4D 50 00 0D 12 12 12 DA 21 B2 40
-10 00 DA 21 2E 5F BF F0 F0 FF 00 00 B0 12 2A C4
-78 C4 F0 C5 2C C9 28 C6 34 C4 07 00 0E DE 38 C9
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C6 01 23 1B 42 DA 21 2C 4F 2F 83 B0 12
+B4 C6 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 21 18 42 AA 21 C8 4A 00 00
+30 4D F4 C6 02 23 53 00 87 12 F6 C6 2E C7 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C7 02 23
+3E 00 9F 42 AA 21 00 00 3E 40 AA 21 2E 8F 30 4D
+26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C6
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C6 02 55 2E 00 87 12 9A C6 34 C4 00 00
+28 C7 42 C7 A2 C9 6A C9 2A C4 68 C5 02 44 2E 00
+87 12 9A C6 78 C4 8A C4 6E C5 28 C7 9A C4 66 C7
+42 C7 A2 C9 6A C9 2A C4 50 C5 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C7 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 21 30 4D 5C C5
+05 41 4C 4C 4F 54 82 5E C4 21 3E 4F 30 4D 3E C7
+02 43 2C 00 1A 42 C4 21 CA 4E 00 00 92 53 C4 21
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C8 92 B3
+1C 05 FD 27 1E 42 0C 05 B0 12 76 C8 30 4D BC C7
+05 28 4B 45 59 29 18 42 0C 05 EC 3F 38 C6 03 4B
+45 59 30 40 16 C8 D0 C7 06 41 43 43 45 50 54 00
+3C 40 FA C8 3B 40 AC C8 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE C8 92 B3 1C 05
+05 24 18 42 0C 05 38 90 0A 00 04 20 21 53 39 40
+90 C8 4D 15 B2 40 11 00 0E 05 E2 C2 22 02 A2 B3
+1C 05 FD 27 30 41 B2 40 13 00 0E 05 E2 D2 22 02
+A2 B3 1C 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 C8 0F 3C C6 C8 38 40 20 00 3D 52 0A 3C D0 C8
+78 42 3D 40 EE C8 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 0E 05 A2 B3 1C 05 FD 27 30 4D F0 C8
+2D 83 92 B3 1C 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 C8 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C C7 04 45 4D 49 54 00 30 40
+10 C9 18 C9 04 45 43 48 4F 00 B2 40 82 48 E2 C8
+30 4D AA C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 C8 30 4D 08 C9 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 C7 02 43 52 00 30 40
+4C C9 60 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 C9 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C C9 EF 3F 8E C9 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 C7 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 C5 28 C6 08 C5 1E C9 12 C6 B2 C9 2A C4 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21
+30 4D D4 C9 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 21 30 4D 78 C9 82 53 22 00 87 12 34 C4 BE C9
+64 CC EE C9 34 C4 22 00 3E CA DC C9 0E CA 3D 41
+6E 4E 1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63
+C4 21 30 4D 34 C9 82 2E 22 00 87 12 FA C9 34 C4
+A2 C9 64 CC 2A C4 00 00 04 57 4F 52 44 00 3C 40
+BE 21 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 21 F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A C6 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 21 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 21 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE CB 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 CB
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC C6
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 21 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 C9 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 C5 01 2C 1A 42 C4 21 A2 53 C4 21 8A 4E 00 00
+3E 4F 30 4D 62 CC 87 4C 49 54 45 52 41 4C 82 93
+B6 21 0F 24 1A 42 C4 21 A2 52 C4 21 BA 40 34 C4
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 C9 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 21 B2 4F
+C0 21 3E 4F 82 43 C2 21 87 12 90 C6 3E CA D0 CC
+3D 40 DC CC E3 22 3D 41 3E 4F 30 4D DE CC 0A 4E
+3E 4F 3D 40 F4 CC 3B 27 3D 40 CA CC 1A E2 B6 21
+B9 27 B3 23 F6 CC 3E 4F 3D 40 CA CC C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 CF
+CD 3F 52 CC 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4 BA CC
+32 CD B2 41 C2 21 B2 41 C0 21 B2 41 BE 21 3D 41
+30 4D 4C C6 04 51 55 49 54 00 31 40 E0 20 B2 40
+00 20 00 20 82 43 B6 21 82 43 08 18 B0 12 2A C4
+BE C9 03 0D 6F 6B A2 C9 6A C9 5A C6 44 C4 64 C6
+30 C8 6A C9 BA CC DE C4 92 C5 BE C9 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 CD 34 C4 30 FF
+C2 C7 D2 C5 BE C9 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 CD 86 C6 F2 C4 E0 C5 60 CD BE C9 03 0D
+20 20 DC C5 66 CD 28 C8 05 41 42 4F 52 54 3F 40
+80 20 C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 C8
+B0 12 46 D3 A2 B3 1C 05 FD 27 B2 40 11 00 0E 05
+E2 C2 22 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12
+BE C9 04 1B 5B 37 6D 00 A2 C9 A2 C9 BE C9 04 1B
+5B 30 6D 00 A2 C9 24 D2 82 D2 96 D2 E4 D2 DC C9
+BE CD B8 CD 86 41 42 4F 52 54 22 00 87 12 FA C9
+34 C4 C4 CD 64 CC 2A C4 96 CA 01 27 87 12 90 C6
+3E CA 9C CA E0 C5 4A CE 2A C4 FE CC 96 C6 81 5C
+92 42 BE 21 C2 21 30 4D 00 00 81 5B 82 43 B6 21
+30 4D 4E CE 01 5D B2 43 B6 21 30 4D 5A CE 83 5B
+27 5D 87 12 3C CE 34 C4 34 C4 64 CC 64 CC 2A C4
+BE 4F 02 00 3E 4F 30 4D 46 C9 82 49 53 00 87 12
+86 C6 F2 C4 E0 C5 A2 CE 72 CE 34 C4 80 CE 64 CC
+2A C4 3C CE 80 CE 2A C4 8A CE 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 21 FA D0 80 00 00 00 30 4D
+F6 C9 87 52 45 43 55 52 53 45 19 42 C4 21 99 42
+B2 21 00 00 A2 53 C4 21 30 4D 44 CD 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 C6 3E CA 9C CA 54 C4
+E0 C5 4A CE 92 C5 E0 C5 06 CF 34 C4 34 C4 64 CC
+64 CC 34 C4 64 CC 64 CC 2A C4 82 9F B4 21 A0 24
+87 12 BE C9 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA CD 87 12 1A CA 90 C6 3E CA 30 CF
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 21 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 21 82 49 B0 21 82 4A
+B2 21 2A 52 82 4A C4 21 3E 4F 3D 41 30 41 38 CA
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 CF BA 40
+86 12 FC FF 71 3C A6 CC 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 CF BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 CF 06 43 52 45 41 54 45 00 B0 12
+26 CF BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 CD
+05 44 4F 45 53 3E 1A 42 B2 21 BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 CF 05 44 45 46 45 52
+B0 12 26 CF BA 40 30 40 FC FF BA 40 C6 CF FE FF
+3B 3C 6E CE 01 3A B0 12 26 CF BA 40 87 12 FC FF
+A2 83 C4 21 B2 43 B6 21 82 4F B4 21 30 4D E4 CF
+81 3B 82 93 B6 21 24 27 87 12 34 C4 2A C4 64 CC
+0A CF 5C CE 2A C4 18 D0 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 CC 06 4D 41 52 4B 45 52 00
+B0 12 26 CF BA 40 84 12 FC FF BA 40 16 D0 FE FF
+9A 42 C6 21 00 00 28 83 8A 48 02 00 A2 52 C4 21
+18 42 AE 21 19 42 B0 21 A8 49 FE FF 89 48 00 00
+30 4D AA CE 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 21 A2 52 C4 21 BE 40 E0 C5 00 00 2E 53 30 4D
+CA CF 84 45 4C 53 45 00 A2 52 C4 21 1A 42 C4 21
+BA 40 DC C5 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C C9 84 54 48 45 4E 00 9E 42 C4 21 00 00 3E 4F
+30 4D 96 CF 85 42 45 47 49 4E 30 40 C2 C7 A2 D0
+85 55 4E 54 49 4C 39 40 E0 C5 A2 52 C4 21 1A 42
+C4 21 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 CE
+85 41 47 41 49 4E 39 40 DC C5 EF 3F 60 CF 85 57
+48 49 4C 45 87 12 68 D0 78 C4 2A C4 C2 CE 86 52
+45 50 45 41 54 00 87 12 E6 D0 A8 D0 2A C4 82 D0
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 21 1E 42
+C4 21 BE 40 F0 C5 FE FF A2 53 00 20 1A 42 00 20
+8A 43 00 00 30 4D 28 D0 84 4C 4F 4F 50 00 39 40
+12 C6 A2 52 C4 21 1A 42 C4 21 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 20 A2 83 00 20 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E C8 85 2B 4C 4F
+4F 50 39 40 00 C6 E5 3F 38 D1 85 4C 45 41 56 45
+1A 42 C4 21 BA 40 22 C6 00 00 BA 40 DC C5 02 00
+B2 50 06 00 C4 21 A2 53 00 20 2A 52 19 42 00 20
+89 4A 00 00 30 4D 7A D1 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE D0
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E CF
+34 C4 10 00 34 C4 00 00 F0 C5 34 C4 00 00 64 CC
+12 C6 FA D1 C2 C7 34 C4 C6 21 44 C4 F2 C4 64 CC
+FA C4 B6 CF 34 C4 CA 21 FA C4 2A C4 3A CE 05 46
+4F 52 54 48 84 12 14 D2 B6 D6 8C D6 3C E1 06 E1
+C0 DF 6C D1 EE DE 26 DE 08 D3 4A E1 FC DE 44 DE
+46 C5 B0 DF 64 CE 3A DE 00 00 E0 D0 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 21 38 40 CC 21 B6 3F
+DC CE 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 21 38 40 CA 21 A3 3F 26 CA 04 4F 4E 4C
+59 00 82 43 CC 21 30 4D 10 D1 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 21 C8 21 30 4D 24 D2
+82 D2 96 D2 A6 D2 3A 4E 82 4A C6 21 2E 4E 82 4E
+C4 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 D2 09 50 57 52 5F 53
+54 41 54 45 84 12 9E D2 70 D6 6E E1 FE D0 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 D2 92 42
+0C 18 EA D2 EF 3F DA D2 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 E8 D2 92 42 C4 21 EA D2 30 4D
+EE D2 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
+0E 18 92 42 C4 21 0C 18 EC 3F B2 40 D4 D4 38 D5
+B2 40 9E C8 92 C8 B2 40 10 C9 20 C9 B2 40 4C C9
+60 C9 B2 40 16 C8 24 C8 82 43 32 DD 82 43 3E DD
+82 43 4A DD 82 43 7A DD 82 43 86 DD 82 43 92 DD
+B2 40 0A 00 DA 21 30 41 E0 D1 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 DA FF FA 23
+B0 12 3A D3 B2 40 6E E1 0C 18 B2 40 70 D6 0E 18
+AB 3F B4 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 D0 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 D3 86 5B 45 4C 53 45 5D 00 87 12
+34 C4 01 00 90 C6 3E CA AC CC 44 C4 E0 C5 5C D4
+8A C4 8A C4 BE C9 04 5B 49 46 5D 00 AC D3 EA C5
+1A D4 FC CA 2C C5 DC C5 50 D4 8A C4 8A C4 BE C9
+06 5B 45 4C 53 45 5D 00 AC D3 EA C5 3E D4 FC CA
+E0 D3 44 C4 E0 C5 3A D4 2C C5 DC C5 50 D4 BE C9
+06 5B 54 48 45 4E 5D 00 AC D3 EA C5 50 D4 E0 D3
+54 C4 EA C5 58 D4 2A C4 DC C5 F4 D3 FC CA BE C9
+03 0D 6B 6F A2 C9 6A C9 5A C6 44 C4 64 C6 30 C8
+72 D4 82 43 C2 21 82 4E BE 21 B2 4F C0 21 3E 4F
+3D 40 F4 D3 30 4D E6 D3 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 D4 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 C6 3E CA 9C CA 6C C4 86 C5
+2A C4 98 D4 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 C6 3E CA 9C CA 6C C4 2A C4 64 D0 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE C9 06 0D 1B 5B
+37 6D 23 00 A2 C9 AC C7 BE C9 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 C9 34 C4 30 FF C2 C7 28 C5 78 C7 BE C9
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 CD 7A D3
+04 57 41 52 4D 00 30 40 D4 D4 A4 D3 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 43
+02 02 B2 D3 06 02 F2 D0 06 00 24 02 F2 D3 26 02
+F2 40 FD 00 22 02 F2 40 A5 00 A1 01 F2 40 10 00
+A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01
+80 01 B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40
+40 00 B2 D0 10 00 86 01 92 D2 5E 01 08 18 A2 93
+08 18 01 24 59 03 38 43 18 83 FE 23 19 83 FB 23
+3A 40 48 D5 39 40 DA FF 89 4A 00 00 29 53 FC 23
+92 42 02 18 E4 FF B2 40 18 00 0A 18 31 40 E0 20
+3F 40 80 20 37 40 00 C4 36 40 BC C4 35 40 08 C4
+34 40 14 C4 B2 40 0A 00 DA 21 B2 43 AC 21 92 C3
+30 01 18 42 08 18 F2 B0 10 00 00 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 00 05 B2 42 06 05
+B2 40 A1 F7 08 05 F2 D0 30 00 0A 02 92 C3 00 05
+92 D3 1A 05 3D 40 3E D6 18 42 08 18 38 90 0A 00
+A7 26 38 90 16 00 A4 2E 28 93 5E 22 53 26 36 D5
+4C D2 09 41 53 53 45 4D 42 4C 45 52 84 12 14 D2
+96 DC 42 DD 4A DC 96 DD 10 DC CA DC 14 D9 00 00
+06 DC B6 DC 68 DC A6 DC 24 DA 00 00 00 00 A8 DD
+48 D2 CC D4 85 48 49 32 4C 4F 87 12 C2 C7 7C D0
+64 CC 5C CE 52 D2 4C D6 2A C4 3C D5 04 43 4F 44
+45 00 B0 12 26 CF A2 82 C4 21 87 12 F8 CF DC C5
+84 D6 00 00 07 45 4E 44 43 4F 44 45 87 12 6C D2
+0A CF 2A C4 42 D6 03 41 53 4D 92 42 C8 21 B8 21
+B2 40 50 D6 C8 21 E5 3F A4 D6 06 45 4E 44 41 53
+4D 00 92 42 B8 21 C8 21 E9 3F 00 00 05 43 4F 4C
+4F 4E 1A 42 C4 21 BA 40 87 12 00 00 A2 53 C4 21
+B2 43 B6 21 30 40 6C D2 A4 C6 05 4C 4F 32 48 49
+1A 42 C4 21 BA 40 B0 12 00 00 BA 40 2A C4 02 00
+A2 52 C4 21 ED 3F 38 40 BE 21 39 48 2A 48 09 5A
+1A 52 C2 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
+2A 88 82 4A C2 21 30 4D B0 12 2A C4 3E CA 9C CA
+EA C5 4C D7 5E CB E0 C5 4A CE 6E D7 4E D7 29 4E
+39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
+1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
+19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 40 29 00
+12 12 C2 21 92 53 C2 21 B0 12 2A C4 3E CA 5E CB
+E0 C5 A0 D7 96 D7 21 53 3E 90 10 00 BB 2D 30 41
+A2 D7 B2 41 C2 21 22 D3 30 41 87 12 90 C6 16 D7
+B2 D7 82 43 BC 21 92 42 C4 21 BA 21 A2 53 C4 21
+0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 21
+B0 12 38 D7 0E 93 04 20 B2 40 00 03 BC 21 27 3C
+1E 93 04 20 B2 40 10 03 BC 21 21 3C 2E 93 04 20
+B2 40 20 03 BC 21 1B 3C 2E 92 04 20 B2 40 20 02
+BC 21 15 3C 3E 92 04 20 B2 40 30 02 BC 21 0F 3C
+3E 93 04 20 B2 40 30 03 BC 21 09 3C B2 40 30 00
+BC 21 19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 4F
+3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
+BC 21 92 53 C2 21 30 12 22 D8 76 3F FA 90 40 00
+00 00 1A 20 B2 40 20 00 BC 21 92 53 C2 21 B0 12
+80 D7 0E 20 B2 50 10 00 BC 21 3E 40 2B 00 B0 12
+80 D7 32 24 92 92 BE 21 C2 21 02 24 92 53 C2 21
+8E 10 82 5E BC 21 D3 3F B0 12 80 D7 F9 23 B2 50
+10 00 BC 21 3E 40 28 00 B0 12 38 D7 30 12 72 D8
+67 3F 87 12 90 C6 16 D7 AA D8 FE 90 26 00 00 00
+3E 40 20 00 04 20 B2 50 82 00 BC 21 C2 3F B0 12
+80 D7 DF 23 B2 50 80 00 BC 21 3E 40 28 00 B0 12
+38 D7 B0 12 70 D7 D5 23 3D 40 4A CE 30 4D 8A C8
+04 52 45 54 49 00 87 12 34 C4 00 13 64 CC 2A C4
+34 C4 2C 00 AA D7 A2 D8 FA D8 2E 4E 1E D2 BC 21
+19 42 BA 21 92 3F FA D6 03 4D 4F 56 84 12 F0 D8
+00 40 08 D9 05 4D 4F 56 2E 42 84 12 F0 D8 40 40
+00 00 03 41 44 44 84 12 F0 D8 00 50 22 D9 05 41
+44 44 2E 42 84 12 F0 D8 40 50 2E D9 04 41 44 44
+43 00 84 12 F0 D8 00 60 3C D9 06 41 44 44 43 2E
+42 00 84 12 F0 D8 40 60 E0 D8 04 53 55 42 43 00
+84 12 F0 D8 00 70 5A D9 06 53 55 42 43 2E 42 00
+84 12 F0 D8 40 70 68 D9 03 53 55 42 84 12 F0 D8
+00 80 78 D9 05 53 55 42 2E 42 84 12 F0 D8 40 80
+DC D6 03 43 4D 50 84 12 F0 D8 00 90 92 D9 05 43
+4D 50 2E 42 84 12 F0 D8 40 90 CA D6 04 44 41 44
+44 00 84 12 F0 D8 00 A0 AC D9 06 44 41 44 44 2E
+42 00 84 12 F0 D8 40 A0 9E D9 03 42 49 54 84 12
+F0 D8 00 B0 CA D9 05 42 49 54 2E 42 84 12 F0 D8
+40 B0 D6 D9 03 42 49 43 84 12 F0 D8 00 C0 E4 D9
+05 42 49 43 2E 42 84 12 F0 D8 40 C0 F0 D9 03 42
+49 53 84 12 F0 D8 00 D0 FE D9 05 42 49 53 2E 42
+84 12 F0 D8 40 D0 00 00 03 58 4F 52 84 12 F0 D8
+00 E0 18 DA 05 58 4F 52 2E 42 84 12 F0 D8 40 E0
+4A D9 03 41 4E 44 84 12 F0 D8 00 F0 32 DA 05 41
+4E 44 2E 42 84 12 F0 D8 40 F0 90 C6 AA D7 50 DA
+1A 42 BC 21 B2 F0 70 00 BC 21 8A 10 3A F0 0F 00
+82 DA BC 21 4A 3F 84 D9 03 52 52 43 84 12 4A DA
+00 10 68 DA 05 52 52 43 2E 42 84 12 4A DA 40 10
+74 DA 04 53 57 50 42 00 84 12 4A DA 80 10 82 DA
+03 52 52 41 84 12 4A DA 00 11 90 DA 05 52 52 41
+2E 42 84 12 4A DA 40 11 9C DA 03 53 58 54 84 12
+4A DA 80 11 00 00 04 50 55 53 48 00 84 12 4A DA
+00 12 B6 DA 06 50 55 53 48 2E 42 00 84 12 4A DA
+40 12 0A DA 04 43 41 4C 4C 00 84 12 4A DA 80 12
+34 C4 2C 00 AA D7 A2 D8 EA DA 59 42 BC 21 5A 42
+BD 21 82 4A BC 21 BE 90 00 15 00 00 02 20 0A 89
+02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
+1A 53 0E 4A 87 12 AC C7 BE C9 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 CA CD C4 DA 05 50 55 53
+48 4D 84 12 E0 DA 00 15 2C DB 04 50 4F 50 4D 00
+84 12 E0 DA 00 17 90 C6 16 D7 4C DB 82 43 BC 21
+92 42 C4 21 BA 21 A2 53 C4 21 92 53 C2 21 3E 40
+2C 00 B0 12 2A C4 3E CA 5E CB E0 C5 4A CE A2 D8
+72 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
+6F 3F AA DA 04 52 52 43 4D 00 84 12 46 DB 50 00
+84 DB 04 52 52 41 4D 00 84 12 46 DB 50 01 92 DB
+04 52 4C 41 4D 00 84 12 46 DB 50 02 A0 DB 04 52
+52 55 4D 00 84 12 46 DB 50 03 85 12 00 3C AE DB
+03 53 3E 3D 85 12 00 38 C0 DB 02 53 3C 00 85 12
+00 34 3A DB 03 30 3E 3D 85 12 00 30 D4 DB 02 30
+3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
+E8 DB 03 55 3E 3D 85 12 00 28 DE DB 03 30 3C 3E
+85 12 00 24 FC DB 02 30 3D 00 85 12 00 20 96 C8
+02 49 46 00 1A 42 C4 21 8A 4E 00 00 A2 53 C4 21
+0E 4A 30 4D F2 DB 04 54 48 45 4E 00 1A 42 C4 21
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+68 2F 88 DA 00 00 30 4D BA D9 04 45 4C 53 45 00
+1A 42 C4 21 BA 40 00 3C 00 00 A2 53 C4 21 2F 83
+8F 4A 00 00 E3 3F 26 DC 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C4 21 2A 83 0A 89 0A 11 3A 90
+00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C4 21 30 4D 3E DA 05 41 47 41 49 4E 87 12 BA DB
+6E DC 2A C4 00 00 05 57 48 49 4C 45 87 12 14 DC
+78 C4 2A C4 CA DB 06 52 45 50 45 41 54 00 87 12
+BA DB 6E DC 2C DC 2A C4 00 00 03 4A 4D 50 87 12
+3C CE BA DB 6E DC 2A C4 3E B0 00 10 03 20 3E E0
+00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
+30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
+87 12 D8 DC 3C CE 78 C4 6E DC 2A C4 0E DD 3D 41
+08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 21 00 00
+30 4D 88 43 00 00 A4 3F D4 DA 03 42 57 31 84 12
+0C DD 00 00 2A DD 03 42 57 32 84 12 0C DD 00 00
+36 DD 03 42 57 33 84 12 0C DD 00 00 4E DD 3D 41
+1A 42 C4 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53
+C4 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
+00 00 03 46 57 31 84 12 4C DD 00 00 72 DD 03 46
+57 32 84 12 4C DD 00 00 7E DD 03 46 57 33 84 12
+4C DD 00 00 8A DD 04 47 4F 54 4F 00 87 12 BA DB
+3C CE 5A CC 2A C4 FA DC 05 3F 47 4F 54 4F 87 12
+D8 DC 3C CE 5A CC 2A C4 B4 D4 09 7B 55 54 49 4C
+49 54 59 7D 30 4D 7C D2 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4 3C 00
+1E C9 AC C7 34 C4 08 00 1E C9 34 C4 3E 00 1E C9
+6A C9 8A C4 8A C4 C4 C5 EA C5 12 DE 62 C4 62 C4
+2A C4 F0 C5 28 C6 F2 C4 78 C7 34 C4 02 00 00 C6
+14 DE 2A C4 C8 DD 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 20 D3 3F 04 CB 01 3F 2E 4E 30 40
+78 C7 30 D5 05 57 4F 52 44 53 87 12 5E C9 34 C4
+03 00 80 C9 34 C4 CA 21 F2 C4 50 C6 34 C4 10 00
+44 C4 20 C5 AE D1 34 C4 00 00 44 C4 34 C4 10 00
+44 C4 20 C5 34 C4 00 00 F0 C5 44 C4 28 C6 50 C6
+20 C5 F2 C4 D2 C5 E0 C5 98 DE 62 C4 62 C4 28 C6
+44 C4 50 C6 20 C5 F2 C4 34 C4 02 00 00 C6 7A DE
+54 C4 E0 C5 DA DE 44 C4 34 C4 02 00 28 C5 F2 C4
+9A C4 50 C6 20 C5 FA C4 44 C4 AC CC 34 C4 7F 00
+36 C5 A2 C9 08 C5 34 C4 0F 00 36 C5 34 C4 10 00
+78 C4 28 C5 80 C9 DC C5 66 DE 62 C4 2A C4 A8 D1
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D E0 DE 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D C0 D0 03 55 2E 52
+87 12 B0 C4 9A C6 34 C4 00 00 F6 C6 28 C7 42 C7
+BC C4 8A C4 28 C5 34 C4 00 00 E4 DE 80 C9 A2 C9
+2A C4 8A D2 04 44 55 4D 50 00 0D 12 12 12 DA 21
+B2 40 10 00 DA 21 2E 5F B0 12 2A C4 78 C4 8A C4
+8A C4 78 C7 34 C4 01 00 28 C5 78 C7 34 C4 F0 FF
+36 C5 F0 C5 5E C9 28 C6 34 C4 07 00 00 DF 6A C9
 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5
-34 C4 03 00 0E DE 12 C6 6C DE 38 C9 38 C9 28 C6
+34 C4 03 00 00 DF 12 C6 6C DF 6A C9 6A C9 28 C6
 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4
-7E 00 00 DE 90 C6 F2 DD EC C8 12 C6 8A DE 34 C4
-10 00 00 C6 54 DE BC C4 7A C6 FA C4 2A C4
+7E 00 F2 DE 90 C6 E4 DE 1E C9 12 C6 8A DF 34 C4
+10 00 00 C6 54 DF BC C4 7A C6 FA C4 2A C4 BA DD
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D 74 D6
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 21 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 AA 21
+3E 4F 30 4D 1E D2 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D E6 DF 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D F4 DF 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 06 E0 03 46 23 53 2F 83 8F 4E
+00 00 2B 42 B2 90 0A 00 DA 21 01 20 1B 53 0C 43
+A2 4F C0 04 92 42 DA 21 C8 04 9F 42 E4 04 00 00
+1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 CC 4E 88 21 1C 53 0C 9B EA 2B 0E 4B 8F 43
+00 00 39 40 88 21 78 3F 88 E0 02 46 2A 00 92 4F
+04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E
+E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42
+E8 04 30 4D DA E0 02 46 2E 00 87 12 9A C6 44 C4
+B0 C4 6E C5 78 C4 8C E0 34 C4 2C 00 58 C7 28 C7
+BC C4 66 C7 42 C7 A2 C9 6A C9 2A C4 22 D3 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 24 DF 03 44 3E 46
+2E 4F 8F 43 00 00 30 4D 2E E1 09 32 43 4F 4E 53
+54 41 4E 54 87 12 9E CF 78 C4 64 CC 64 CC B6 CF
+62 E1 2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFDA
-62 D4 62 D4 62 D4 62 D4 62 D4 8A C8 62 D4 62 D4
-62 D4 62 D4 62 D4 62 D4 62 D4 62 D4 62 D4 62 D4
-62 D4 62 D4 62 D4
+48 D5 48 D5 48 D5 48 D5 48 D5 A4 C8 48 D5 48 D5
+48 D5 48 D5 48 D5 48 D5 48 D5 48 D5 48 D5 48 D5
+48 D5 48 D5 48 D5
 q
index 8c4c523..3a2a337 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A C8 80 3E 00 24 05 00 18 00 B0 DE 80 D5
-4A C8 5C C8 00 00 00 00
+10 00 A4 C8 80 3E 00 24 05 00 18 00 70 E1 72 D6
+64 C8 76 C8 00 00 00 00
 @21AA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,393 +51,437 @@ AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 21 60 C6 04 42 41 53 45 00 85 12 DA 21 C8 C4
 05 53 54 41 54 45 85 12 B6 21 74 C6 02 42 4C 00
 85 12 20 00 B4 C5 02 3C 23 00 B2 40 AA 21 AA 21
-30 4D 8C C6 01 23 5B 42 DA 21 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 C4 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 21 18 42
-AA 21 C8 4A 00 00 30 4D A4 C6 02 23 53 00 87 12
-A6 C6 04 C7 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA C6 02 23 3E 00 9F 42 AA 21 00 00 3E 40
-AA 21 2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 C6 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 C6 02 55 2E 00 87 12
-9A C6 34 C4 00 00 FE C6 18 C7 70 C9 38 C9 2A C4
-68 C5 02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5
-FE C6 9A C4 3C C7 18 C7 70 C9 38 C9 2A C4 50 C5
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 C7 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 21 30 4D 5C C5 05 41 4C 4C 4F 54 82 5E C4 21
-3E 4F 30 4D 14 C7 02 43 2C 00 1A 42 C4 21 CA 4E
-00 00 92 53 C4 21 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A C8 92 B3 1C 05 FD 27 1E 42 0C 05 B0 12
-5C C8 30 4D 92 C7 05 28 4B 45 59 29 18 42 0C 05
-EC 3F 38 C6 03 4B 45 59 30 40 EC C7 A6 C7 06 41
-43 43 45 50 54 00 30 40 16 C8 E6 C7 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 C8 3B 40 92 C8 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC C8 92 B3 1C 05 05 24 18 42 0C 05 38 90 0A 00
-04 20 21 53 39 40 76 C8 4D 15 B2 40 11 00 0E 05
-E2 C2 22 02 A2 B3 1C 05 FD 27 30 41 B2 40 13 00
-0E 05 E2 D2 22 02 A2 B3 1C 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 C8 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-0C 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 0E 05 A2 B3 1C 05 FD 27 30 4D BE C8 2D 83
-92 B3 1C 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C C8 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 C7 04 45 4D 49 54 00 30 40 DE C8
-E6 C8 04 45 43 48 4F 00 B2 40 82 48 B0 C8 30 4D
-80 C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 C8
-30 4D D6 C8 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 C7 02 43 52 00 30 40 1A C9
-36 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A C9 EF 3F 5C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A C7 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 C5
-28 C6 08 C5 EC C8 12 C6 80 C9 2A C4 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21 30 4D
-A2 C9 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 21
-30 4D 46 C9 82 53 22 00 87 12 34 C4 8C C9 EE CB
-BC C9 34 C4 22 00 0C CA AA C9 DC C9 3D 41 6E 4E
-1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63 C4 21
-30 4D 02 C9 82 2E 22 00 87 12 C8 C9 34 C4 70 C9
-EE CB 2A C4 00 00 04 57 4F 52 44 00 3C 40 BE 21
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 21
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A C6 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 21 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 21 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C CB 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E CB 2F 53
-0E 93 2C 17 82 4C DA 21 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 C8 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42
-C4 21 A2 53 C4 21 8A 4E 00 00 3E 4F 30 4D EC CB
-87 4C 49 54 45 52 41 4C 82 93 B6 21 0F 24 1A 42
-C4 21 A2 52 C4 21 BA 40 34 C4 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 C9
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 21 B2 4F C0 21 3E 4F 82 43
-C2 21 87 12 90 C6 0C CA 5A CC 3D 40 66 CC 05 23
-3D 41 3E 4F 30 4D 68 CC 0A 4E 3E 4F 3D 40 7E CC
-5A 27 3D 40 54 CC 1A E2 B6 21 B9 27 B3 23 80 CC
-3E 4F 3D 40 54 CC C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE CE CD 3F DC CB 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 21 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C4 44 CC BC CC B2 41 C2 21
-B2 41 C0 21 B2 41 BE 21 3D 41 30 4D 4C C6 04 51
-55 49 54 00 31 40 E0 20 B2 40 00 20 00 20 82 43
-B6 21 82 43 08 18 B0 12 2A C4 8C C9 03 0D 6F 6B
-70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 38 C9 44 CC
-DE C4 92 C5 8C C9 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E CD 34 C4 30 FF 98 C7 D2 C5 8C C9
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E CD 86 C6
-F2 C4 E0 C5 EA CC 8C C9 03 0D 20 20 DC C5 F0 CC
-FE C7 05 41 42 4F 52 54 3F 40 80 20 C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 C8 B0 12 62 D2 A2 B3
-1C 05 FD 27 B2 40 11 00 0E 05 E2 C2 22 02 92 C3
-1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 1C 05 F3 23 87 12 8C C9 04 1B 5B 37
-6D 00 70 C9 70 C9 8C C9 04 1B 5B 30 6D 00 70 C9
-70 D1 B2 D1 B8 D1 00 D2 AA C9 48 CD 42 CD 86 41
-42 4F 52 54 22 00 87 12 C8 C9 34 C4 4E CD EE CB
-2A C4 64 CA 01 27 87 12 90 C6 0C CA 6A CA E0 C5
-D4 CD 2A C4 88 CC 96 C6 81 5C 92 42 BE 21 C2 21
-30 4D 00 00 81 5B 82 43 B6 21 30 4D D8 CD 01 5D
-B2 43 B6 21 30 4D E4 CD 83 5B 27 5D 87 12 C6 CD
-34 C4 34 C4 EE CB EE CB 2A C4 BE 4F 02 00 3E 4F
-30 4D 14 C9 82 49 53 00 87 12 86 C6 F2 C4 E0 C5
-2C CE FC CD 34 C4 0A CE EE CB 2A C4 C6 CD 0A CE
-2A C4 14 CE 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 21 FA D0 80 00 00 00 30 4D C4 C9 87 52 45 43
-55 52 53 45 19 42 C4 21 99 42 B2 21 00 00 A2 53
-C4 21 30 4D CE CC 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 C6 0C CA 6A CA 54 C4 E0 C5 D4 CD 92 C5
-E0 C5 90 CE 34 C4 34 C4 EE CB EE CB 34 C4 EE CB
-EE CB 2A C4 82 9F B4 21 A0 24 87 12 8C C9 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 CD
-87 12 E8 C9 90 C6 0C CA BA CE 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 21 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 21 82 49 B0 21 82 4A B2 21 2A 52 82 4A
-C4 21 3E 4F 3D 41 30 41 06 CA 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 CE BA 40 86 12 FC FF 71 3C
-30 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 CE
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 CF
-06 43 52 45 41 54 45 00 B0 12 B0 CE BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E CC 05 44 4F 45 53 3E
-1A 42 B2 21 BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A CF 05 44 45 46 45 52 B0 12 B0 CE BA 40
-30 40 FC FF BA 40 50 CF FE FF 3B 3C F8 CD 01 3A
-B0 12 B0 CE BA 40 87 12 FC FF A2 83 C4 21 B2 43
-B6 21 82 4F B4 21 30 4D 6E CF 81 3B 82 93 B6 21
-24 27 87 12 34 C4 2A C4 EE CB 94 CE E6 CD 2A C4
-A2 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 CC 06 4D 41 52 4B 45 52 00 B0 12 B0 CE BA 40
-84 12 FC FF BA 40 A0 CF FE FF 9A 42 C6 21 00 00
-28 83 8A 48 02 00 A2 52 C4 21 18 42 AE 21 19 42
-B0 21 A8 49 FE FF 89 48 00 00 30 4D 34 CE 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 21 A2 52 C4 21
-BE 40 E0 C5 00 00 2E 53 30 4D 54 CF 84 45 4C 53
-45 00 A2 52 C4 21 1A 42 C4 21 BA 40 DC C5 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A C9 84 54 48 45
-4E 00 9E 42 C4 21 00 00 3E 4F 30 4D 20 CF 85 42
-45 47 49 4E 30 40 98 C7 2C D0 85 55 4E 54 49 4C
-39 40 E0 C5 A2 52 C4 21 1A 42 C4 21 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE CD 85 41 47 41 49 4E
-39 40 DC C5 EF 3F EA CE 85 57 48 49 4C 45 87 12
-F2 CF 78 C4 2A C4 4C CE 86 52 45 50 45 41 54 00
-87 12 70 D0 32 D0 2A C4 0C D0 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 21 1E 42 C4 21 BE 40 F0 C5
-FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00 30 4D
-B2 CF 84 4C 4F 4F 50 00 39 40 12 C6 A2 52 C4 21
-1A 42 C4 21 8A 49 FC FF 8A 4E FE FF 1E 42 00 20
-A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 C7 85 2B 4C 4F 4F 50 39 40 00 C6
-E5 3F C2 D0 85 4C 45 41 56 45 1A 42 C4 21 BA 40
-22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00 C4 21
-A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00 30 4D
-04 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 C4 CA 21 FA C4 2A C4
-84 12 68 D1 C6 D5 9C D5 34 DE C4 CD 84 D5 F6 D0
-FE DD 36 DD 24 D2 3E D2 0C DE 54 DD 46 C5 CA DC
-EE CD 4A DD 00 00 3A 40 0E 00 39 40 CA 21 38 40
-CC 21 D9 3F 3A 40 0E 00 39 40 CC 21 38 40 CA 21
-CC 3F 82 43 CC 21 30 4D 92 42 CA 21 C8 21 30 4D
-C2 D1 3A 4E 82 4A C6 21 2E 4E 82 4E C4 21 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 CE 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 D1 80 D5 B0 DE 88 D0 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 D2 92 42 0C 18 06 D2
-EF 3F F6 D1 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 21 04 D2 92 42 C4 21 06 D2 30 4D 0A D2 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 21 0E 18 92 42
-C4 21 0C 18 EC 3F B2 40 F0 D3 52 D4 B2 40 84 C8
-78 C8 B2 40 DE C8 EE C8 B2 40 1A C9 2E C9 B2 40
-EC C7 FA C7 82 43 42 DC 82 43 4E DC 82 43 5A DC
-82 43 8A DC 82 43 96 DC 82 43 A2 DC B2 40 0A 00
-DA 21 30 41 78 D0 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 DA FF FA 23 B0 12 56 D2
-B2 40 B0 DE 0C 18 B2 40 80 D5 0E 18 AB 3F 3E D0
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A CF 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 D2 86 5B 45 4C 53 45 5D 00 87 12 34 C4 01 00
-90 C6 0C CA 36 CC 44 C4 E0 C5 78 D3 8A C4 8A C4
-8C C9 04 5B 49 46 5D 00 C8 D2 EA C5 36 D3 CA CA
-2C C5 DC C5 6C D3 8A C4 8A C4 8C C9 06 5B 45 4C
-53 45 5D 00 C8 D2 EA C5 5A D3 CA CA FC D2 44 C4
-E0 C5 56 D3 2C C5 DC C5 6C D3 8C C9 06 5B 54 48
-45 4E 5D 00 C8 D2 EA C5 6C D3 FC D2 54 C4 EA C5
-74 D3 2A C4 DC C5 10 D3 CA CA 8C C9 03 0D 6B 6F
-70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 8E D3 82 43
-C2 21 82 4E BE 21 B2 4F C0 21 3E 4F 3D 40 10 D3
-30 4D 02 D3 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 D3 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 C6 0C CA 6A CA 6C C4 86 C5 2A C4 B4 D3
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 C6 0C CA
-6A CA 6C C4 2A C4 EE CF 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C C9 05 0D 1B 5B 37 6D 70 C9
-82 C7 8C C9 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 C9 34 C4
-30 FF 98 C7 28 C5 4E C7 8C C9 0B 62 79 74 65 73
-20 66 72 65 65 20 5A CD 96 D2 04 57 41 52 4D 00
-30 40 F0 D3 C0 D2 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A CC 01 B2 43 02 02 B2 D3 06 02
-F2 D0 06 00 24 02 F2 D3 26 02 F2 40 FD 00 22 02
-F2 40 A5 00 A1 01 F2 40 10 00 A0 01 D2 43 A1 01
-B2 40 00 A5 60 01 B2 40 29 01 80 01 B2 40 0B 00
-82 01 B2 40 E9 01 84 01 39 40 40 00 B2 D0 10 00
-86 01 92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03
-38 43 18 83 FE 23 19 83 FB 23 3A 40 62 D4 39 40
-DA FF 89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF
-B2 40 18 00 0A 18 31 40 E0 20 3F 40 80 20 37 40
-00 C4 36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40
-0A 00 DA 21 B2 43 AC 21 92 C3 30 01 18 42 08 18
-F2 B0 10 00 00 02 04 20 38 E3 18 53 82 48 08 18
-B2 40 81 00 00 05 B2 40 11 00 06 05 B2 40 00 4A
-08 05 F2 D0 30 00 0A 02 92 C3 00 05 92 D3 1A 05
-3D 40 5A D5 18 42 08 18 38 90 0A 00 A7 26 38 90
-16 00 A4 2E 28 93 5E 22 53 26 50 D4 84 12 68 D1
-A6 DB 52 DC 5A DB A6 DC 20 DB DA DB 24 D8 00 00
-16 DB C6 DB 78 DB B6 DB 34 D9 00 00 00 00 B8 DC
-94 D1 E8 D3 85 48 49 32 4C 4F 87 12 98 C7 06 D0
-EE CB E6 CD 96 D1 5C D5 2A C4 56 D4 04 43 4F 44
-45 00 B0 12 B0 CE A2 82 C4 21 87 12 82 CF DC C5
-94 D5 00 00 07 45 4E 44 43 4F 44 45 87 12 A4 D1
-94 CE 2A C4 6A D0 03 41 53 4D 92 42 C8 21 B8 21
-B2 40 60 D5 C8 21 E5 3F B4 D5 06 45 4E 44 41 53
-4D 00 92 42 B8 21 C8 21 E9 3F 00 00 05 43 4F 4C
-4F 4E 1A 42 C4 21 BA 40 87 12 00 00 A2 53 C4 21
-B2 43 B6 21 30 40 A4 D1 00 00 05 4C 4F 32 48 49
-1A 42 C4 21 BA 40 B0 12 00 00 BA 40 2A C4 02 00
-A2 52 C4 21 ED 3F 38 40 BE 21 39 48 2A 48 09 5A
-1A 52 C2 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
-2A 88 82 4A C2 21 30 4D B0 12 2A C4 0C CA 6A CA
-EA C5 5C D6 26 CB E0 C5 D4 CD 7E D6 5E D6 29 4E
-39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
-1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
-19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 40 29 00
-12 12 C2 21 92 53 C2 21 B0 12 2A C4 0C CA 26 CB
-E0 C5 B0 D6 A6 D6 21 53 3E 90 10 00 BB 2D 30 41
-B2 D6 B2 41 C2 21 22 D3 30 41 87 12 90 C6 26 D6
-C2 D6 82 43 BC 21 92 42 C4 21 BA 21 A2 53 C4 21
-0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 21
-B0 12 48 D6 0E 93 04 20 B2 40 00 03 BC 21 27 3C
-1E 93 04 20 B2 40 10 03 BC 21 21 3C 2E 93 04 20
-B2 40 20 03 BC 21 1B 3C 2E 92 04 20 B2 40 20 02
-BC 21 15 3C 3E 92 04 20 B2 40 30 02 BC 21 0F 3C
-3E 93 04 20 B2 40 30 03 BC 21 09 3C B2 40 30 00
-BC 21 19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 4F
-3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
-BC 21 92 53 C2 21 30 12 32 D7 76 3F FA 90 40 00
-00 00 1A 20 B2 40 20 00 BC 21 92 53 C2 21 B0 12
-90 D6 0E 20 B2 50 10 00 BC 21 3E 40 2B 00 B0 12
-90 D6 32 24 92 92 BE 21 C2 21 02 24 92 53 C2 21
-8E 10 82 5E BC 21 D3 3F B0 12 90 D6 F9 23 B2 50
-10 00 BC 21 3E 40 28 00 B0 12 48 D6 30 12 82 D7
-67 3F 87 12 90 C6 26 D6 BA D7 FE 90 26 00 00 00
-3E 40 20 00 04 20 B2 50 82 00 BC 21 C2 3F B0 12
-90 D6 DF 23 B2 50 80 00 BC 21 3E 40 28 00 B0 12
-48 D6 B0 12 80 D6 D5 23 3D 40 D4 CD 30 4D 70 C8
-04 52 45 54 49 00 87 12 34 C4 00 13 EE CB 2A C4
-34 C4 2C 00 BA D6 B2 D7 0A D8 2E 4E 1E D2 BC 21
-19 42 BA 21 92 3F 0A D6 03 4D 4F 56 84 12 00 D8
-00 40 18 D8 05 4D 4F 56 2E 42 84 12 00 D8 40 40
-00 00 03 41 44 44 84 12 00 D8 00 50 32 D8 05 41
-44 44 2E 42 84 12 00 D8 40 50 3E D8 04 41 44 44
-43 00 84 12 00 D8 00 60 4C D8 06 41 44 44 43 2E
-42 00 84 12 00 D8 40 60 F0 D7 04 53 55 42 43 00
-84 12 00 D8 00 70 6A D8 06 53 55 42 43 2E 42 00
-84 12 00 D8 40 70 78 D8 03 53 55 42 84 12 00 D8
-00 80 88 D8 05 53 55 42 2E 42 84 12 00 D8 40 80
-EC D5 03 43 4D 50 84 12 00 D8 00 90 A2 D8 05 43
-4D 50 2E 42 84 12 00 D8 40 90 DA D5 04 44 41 44
-44 00 84 12 00 D8 00 A0 BC D8 06 44 41 44 44 2E
-42 00 84 12 00 D8 40 A0 AE D8 03 42 49 54 84 12
-00 D8 00 B0 DA D8 05 42 49 54 2E 42 84 12 00 D8
-40 B0 E6 D8 03 42 49 43 84 12 00 D8 00 C0 F4 D8
-05 42 49 43 2E 42 84 12 00 D8 40 C0 00 D9 03 42
-49 53 84 12 00 D8 00 D0 0E D9 05 42 49 53 2E 42
-84 12 00 D8 40 D0 00 00 03 58 4F 52 84 12 00 D8
-00 E0 28 D9 05 58 4F 52 2E 42 84 12 00 D8 40 E0
-5A D8 03 41 4E 44 84 12 00 D8 00 F0 42 D9 05 41
-4E 44 2E 42 84 12 00 D8 40 F0 90 C6 BA D6 60 D9
-1A 42 BC 21 B2 F0 70 00 BC 21 8A 10 3A F0 0F 00
-82 DA BC 21 4A 3F 94 D8 03 52 52 43 84 12 5A D9
-00 10 78 D9 05 52 52 43 2E 42 84 12 5A D9 40 10
-84 D9 04 53 57 50 42 00 84 12 5A D9 80 10 92 D9
-03 52 52 41 84 12 5A D9 00 11 A0 D9 05 52 52 41
-2E 42 84 12 5A D9 40 11 AC D9 03 53 58 54 84 12
-5A D9 80 11 00 00 04 50 55 53 48 00 84 12 5A D9
-00 12 C6 D9 06 50 55 53 48 2E 42 00 84 12 5A D9
-40 12 1A D9 04 43 41 4C 4C 00 84 12 5A D9 80 12
-34 C4 2C 00 BA D6 B2 D7 FA D9 59 42 BC 21 5A 42
-BD 21 82 4A BC 21 BE 90 00 15 00 00 02 20 0A 89
-02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
-1A 53 0E 4A 87 12 82 C7 8C C9 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 54 CD D4 D9 05 50 55 53
-48 4D 84 12 F0 D9 00 15 3C DA 04 50 4F 50 4D 00
-84 12 F0 D9 00 17 90 C6 26 D6 5C DA 82 43 BC 21
-92 42 C4 21 BA 21 A2 53 C4 21 92 53 C2 21 3E 40
-2C 00 B0 12 2A C4 0C CA 26 CB E0 C5 D4 CD B2 D7
-82 DA 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
-6F 3F BA D9 04 52 52 43 4D 00 84 12 56 DA 50 00
-94 DA 04 52 52 41 4D 00 84 12 56 DA 50 01 A2 DA
-04 52 4C 41 4D 00 84 12 56 DA 50 02 B0 DA 04 52
-52 55 4D 00 84 12 56 DA 50 03 85 12 00 3C BE DA
-03 53 3E 3D 85 12 00 38 D0 DA 02 53 3C 00 85 12
-00 34 4A DA 03 30 3E 3D 85 12 00 30 E4 DA 02 30
-3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
-F8 DA 03 55 3E 3D 85 12 00 28 EE DA 03 30 3C 3E
-85 12 00 24 0C DB 02 30 3D 00 85 12 00 20 7C C8
-02 49 46 00 1A 42 C4 21 8A 4E 00 00 A2 53 C4 21
-0E 4A 30 4D 02 DB 04 54 48 45 4E 00 1A 42 C4 21
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-68 2F 88 DA 00 00 30 4D CA D8 04 45 4C 53 45 00
-1A 42 C4 21 BA 40 00 3C 00 00 A2 53 C4 21 2F 83
-8F 4A 00 00 E3 3F 36 DB 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C4 21 2A 83 0A 89 0A 11 3A 90
-00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C4 21 30 4D 4E D9 05 41 47 41 49 4E 87 12 CA DA
-7E DB 2A C4 00 00 05 57 48 49 4C 45 87 12 24 DB
-78 C4 2A C4 DA DA 06 52 45 50 45 41 54 00 87 12
-CA DA 7E DB 3C DB 2A C4 00 00 03 4A 4D 50 87 12
-C6 CD CA DA 7E DB 2A C4 3E B0 00 10 03 20 3E E0
-00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
-30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
-87 12 E8 DB C6 CD 78 C4 7E DB 2A C4 1E DC 3D 41
-08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 21 00 00
-30 4D 88 43 00 00 A4 3F E4 D9 03 42 57 31 84 12
-1C DC 00 00 3A DC 03 42 57 32 84 12 1C DC 00 00
-46 DC 03 42 57 33 84 12 1C DC 00 00 5E DC 3D 41
-1A 42 C4 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53
-C4 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
-00 00 03 46 57 31 84 12 5C DC 00 00 82 DC 03 46
-57 32 84 12 5C DC 00 00 8E DC 03 46 57 33 84 12
-5C DC 00 00 9A DC 04 47 4F 54 4F 00 87 12 CA DA
-C6 CD E4 CB 2A C4 0A DC 05 3F 47 4F 54 4F 87 12
-E8 DB C6 CD E4 CB 2A C4 D0 D3 09 7B 55 54 49 4C
-49 54 59 7D 30 4D F4 C9 02 2E 53 00 8F 4E FE FF
-0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83 8F 4E
-FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4 3C 00
-EC C8 82 C7 34 C4 08 00 EC C8 34 C4 3E 00 EC C8
-38 C9 8A C4 8A C4 C4 C5 EA C5 22 DD 62 C4 62 C4
-2A C4 F0 C5 28 C6 F2 C4 4E C7 34 C4 02 00 00 C6
-24 DD 2A C4 D8 DC 03 2E 52 53 8F 4E FE FF 8F 41
-FA FF 3E 40 E0 20 D3 3F D2 CA 01 3F 2E 4E 30 40
-4E C7 4A D4 05 57 4F 52 44 53 87 12 2C C9 34 C4
-03 00 4E C9 34 C4 CA 21 F2 C4 50 C6 34 C4 10 00
-44 C4 20 C5 38 D1 34 C4 00 00 44 C4 34 C4 10 00
-44 C4 20 C5 34 C4 00 00 F0 C5 44 C4 28 C6 50 C6
-20 C5 F2 C4 D2 C5 E0 C5 A8 DD 62 C4 62 C4 28 C6
-44 C4 50 C6 20 C5 F2 C4 34 C4 02 00 00 C6 8A DD
-54 C4 E0 C5 EA DD 44 C4 34 C4 02 00 28 C5 F2 C4
-9A C4 50 C6 20 C5 FA C4 44 C4 36 CC 34 C4 7F 00
-36 C5 70 C9 08 C5 34 C4 0F 00 36 C5 34 C4 10 00
-78 C4 28 C5 4E C9 DC C5 76 DD 62 C4 2A C4 32 D1
-03 4D 41 58 2E 9F 07 38 2F 53 30 4D F0 DD 03 4D
-49 4E 2E 9F F9 3B 3E 4F 30 4D 4A D0 03 55 2E 52
-87 12 B0 C4 9A C6 34 C4 00 00 A6 C6 FE C6 18 C7
-BC C4 8A C4 28 C5 34 C4 00 00 F4 DD 4E C9 70 C9
-2A C4 9A D0 04 44 55 4D 50 00 0D 12 12 12 DA 21
-B2 40 10 00 DA 21 2E 5F BF F0 F0 FF 00 00 B0 12
-2A C4 78 C4 F0 C5 2C C9 28 C6 34 C4 07 00 10 DE
-38 C9 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6
-08 C5 34 C4 03 00 10 DE 12 C6 6E DE 38 C9 38 C9
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C6 01 23 1B 42 DA 21 2C 4F 2F 83 B0 12
+B4 C6 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 21 18 42 AA 21 C8 4A 00 00
+30 4D F4 C6 02 23 53 00 87 12 F6 C6 2E C7 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C7 02 23
+3E 00 9F 42 AA 21 00 00 3E 40 AA 21 2E 8F 30 4D
+26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C6
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C6 02 55 2E 00 87 12 9A C6 34 C4 00 00
+28 C7 42 C7 A2 C9 6A C9 2A C4 68 C5 02 44 2E 00
+87 12 9A C6 78 C4 8A C4 6E C5 28 C7 9A C4 66 C7
+42 C7 A2 C9 6A C9 2A C4 50 C5 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C7 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 21 30 4D 5C C5
+05 41 4C 4C 4F 54 82 5E C4 21 3E 4F 30 4D 3E C7
+02 43 2C 00 1A 42 C4 21 CA 4E 00 00 92 53 C4 21
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C8 92 B3
+1C 05 FD 27 1E 42 0C 05 B0 12 76 C8 30 4D BC C7
+05 28 4B 45 59 29 18 42 0C 05 EC 3F 38 C6 03 4B
+45 59 30 40 16 C8 D0 C7 06 41 43 43 45 50 54 00
+3C 40 FA C8 3B 40 AC C8 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE C8 92 B3 1C 05
+05 24 18 42 0C 05 38 90 0A 00 04 20 21 53 39 40
+90 C8 4D 15 B2 40 11 00 0E 05 E2 C2 22 02 A2 B3
+1C 05 FD 27 30 41 B2 40 13 00 0E 05 E2 D2 22 02
+A2 B3 1C 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 C8 0F 3C C6 C8 38 40 20 00 3D 52 0A 3C D0 C8
+78 42 3D 40 EE C8 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 0E 05 A2 B3 1C 05 FD 27 30 4D F0 C8
+2D 83 92 B3 1C 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 C8 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C C7 04 45 4D 49 54 00 30 40
+10 C9 18 C9 04 45 43 48 4F 00 B2 40 82 48 E2 C8
+30 4D AA C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 C8 30 4D 08 C9 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 C7 02 43 52 00 30 40
+4C C9 60 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 C9 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C C9 EF 3F 8E C9 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 C7 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 C5 28 C6 08 C5 1E C9 12 C6 B2 C9 2A C4 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21
+30 4D D4 C9 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 21 30 4D 78 C9 82 53 22 00 87 12 34 C4 BE C9
+64 CC EE C9 34 C4 22 00 3E CA DC C9 0E CA 3D 41
+6E 4E 1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63
+C4 21 30 4D 34 C9 82 2E 22 00 87 12 FA C9 34 C4
+A2 C9 64 CC 2A C4 00 00 04 57 4F 52 44 00 3C 40
+BE 21 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 21 F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A C6 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 21 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 21 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE CB 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 CB
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC C6
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 21 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 C9 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 C5 01 2C 1A 42 C4 21 A2 53 C4 21 8A 4E 00 00
+3E 4F 30 4D 62 CC 87 4C 49 54 45 52 41 4C 82 93
+B6 21 0F 24 1A 42 C4 21 A2 52 C4 21 BA 40 34 C4
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 C9 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 21 B2 4F
+C0 21 3E 4F 82 43 C2 21 87 12 90 C6 3E CA D0 CC
+3D 40 DC CC E3 22 3D 41 3E 4F 30 4D DE CC 0A 4E
+3E 4F 3D 40 F4 CC 3B 27 3D 40 CA CC 1A E2 B6 21
+B9 27 B3 23 F6 CC 3E 4F 3D 40 CA CC C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 CF
+CD 3F 52 CC 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 21 3C 49 3B 49 3A 49 3D 15 B0 12 2A C4 BA CC
+32 CD B2 41 C2 21 B2 41 C0 21 B2 41 BE 21 3D 41
+30 4D 4C C6 04 51 55 49 54 00 31 40 E0 20 B2 40
+00 20 00 20 82 43 B6 21 82 43 08 18 B0 12 2A C4
+BE C9 03 0D 6F 6B A2 C9 6A C9 5A C6 44 C4 64 C6
+30 C8 6A C9 BA CC DE C4 92 C5 BE C9 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 CD 34 C4 30 FF
+C2 C7 D2 C5 BE C9 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 CD 86 C6 F2 C4 E0 C5 60 CD BE C9 03 0D
+20 20 DC C5 66 CD 28 C8 05 41 42 4F 52 54 3F 40
+80 20 C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 C8
+B0 12 46 D3 A2 B3 1C 05 FD 27 B2 40 11 00 0E 05
+E2 C2 22 02 92 C3 1C 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 1C 05 F3 23 87 12
+BE C9 04 1B 5B 37 6D 00 A2 C9 A2 C9 BE C9 04 1B
+5B 30 6D 00 A2 C9 24 D2 82 D2 96 D2 E4 D2 DC C9
+BE CD B8 CD 86 41 42 4F 52 54 22 00 87 12 FA C9
+34 C4 C4 CD 64 CC 2A C4 96 CA 01 27 87 12 90 C6
+3E CA 9C CA E0 C5 4A CE 2A C4 FE CC 96 C6 81 5C
+92 42 BE 21 C2 21 30 4D 00 00 81 5B 82 43 B6 21
+30 4D 4E CE 01 5D B2 43 B6 21 30 4D 5A CE 83 5B
+27 5D 87 12 3C CE 34 C4 34 C4 64 CC 64 CC 2A C4
+BE 4F 02 00 3E 4F 30 4D 46 C9 82 49 53 00 87 12
+86 C6 F2 C4 E0 C5 A2 CE 72 CE 34 C4 80 CE 64 CC
+2A C4 3C CE 80 CE 2A C4 8A CE 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 21 FA D0 80 00 00 00 30 4D
+F6 C9 87 52 45 43 55 52 53 45 19 42 C4 21 99 42
+B2 21 00 00 A2 53 C4 21 30 4D 44 CD 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 C6 3E CA 9C CA 54 C4
+E0 C5 4A CE 92 C5 E0 C5 06 CF 34 C4 34 C4 64 CC
+64 CC 34 C4 64 CC 64 CC 2A C4 82 9F B4 21 A0 24
+87 12 BE C9 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA CD 87 12 1A CA 90 C6 3E CA 30 CF
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 21 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 21 82 49 B0 21 82 4A
+B2 21 2A 52 82 4A C4 21 3E 4F 3D 41 30 41 38 CA
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 CF BA 40
+86 12 FC FF 71 3C A6 CC 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 CF BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 CF 06 43 52 45 41 54 45 00 B0 12
+26 CF BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 CD
+05 44 4F 45 53 3E 1A 42 B2 21 BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 CF 05 44 45 46 45 52
+B0 12 26 CF BA 40 30 40 FC FF BA 40 C6 CF FE FF
+3B 3C 6E CE 01 3A B0 12 26 CF BA 40 87 12 FC FF
+A2 83 C4 21 B2 43 B6 21 82 4F B4 21 30 4D E4 CF
+81 3B 82 93 B6 21 24 27 87 12 34 C4 2A C4 64 CC
+0A CF 5C CE 2A C4 18 D0 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 CC 06 4D 41 52 4B 45 52 00
+B0 12 26 CF BA 40 84 12 FC FF BA 40 16 D0 FE FF
+9A 42 C6 21 00 00 28 83 8A 48 02 00 A2 52 C4 21
+18 42 AE 21 19 42 B0 21 A8 49 FE FF 89 48 00 00
+30 4D AA CE 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 21 A2 52 C4 21 BE 40 E0 C5 00 00 2E 53 30 4D
+CA CF 84 45 4C 53 45 00 A2 52 C4 21 1A 42 C4 21
+BA 40 DC C5 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C C9 84 54 48 45 4E 00 9E 42 C4 21 00 00 3E 4F
+30 4D 96 CF 85 42 45 47 49 4E 30 40 C2 C7 A2 D0
+85 55 4E 54 49 4C 39 40 E0 C5 A2 52 C4 21 1A 42
+C4 21 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 CE
+85 41 47 41 49 4E 39 40 DC C5 EF 3F 60 CF 85 57
+48 49 4C 45 87 12 68 D0 78 C4 2A C4 C2 CE 86 52
+45 50 45 41 54 00 87 12 E6 D0 A8 D0 2A C4 82 D0
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 21 1E 42
+C4 21 BE 40 F0 C5 FE FF A2 53 00 20 1A 42 00 20
+8A 43 00 00 30 4D 28 D0 84 4C 4F 4F 50 00 39 40
+12 C6 A2 52 C4 21 1A 42 C4 21 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 20 A2 83 00 20 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E C8 85 2B 4C 4F
+4F 50 39 40 00 C6 E5 3F 38 D1 85 4C 45 41 56 45
+1A 42 C4 21 BA 40 22 C6 00 00 BA 40 DC C5 02 00
+B2 50 06 00 C4 21 A2 53 00 20 2A 52 19 42 00 20
+89 4A 00 00 30 4D 7A D1 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE D0
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E CF
+34 C4 10 00 34 C4 00 00 F0 C5 34 C4 00 00 64 CC
+12 C6 FA D1 C2 C7 34 C4 C6 21 44 C4 F2 C4 64 CC
+FA C4 B6 CF 34 C4 CA 21 FA C4 2A C4 3A CE 05 46
+4F 52 54 48 84 12 14 D2 B8 D6 8E D6 3E E1 08 E1
+C2 DF 6C D1 F0 DE 28 DE 08 D3 4C E1 FE DE 46 DE
+46 C5 B2 DF 64 CE 3C DE 00 00 E0 D0 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 21 38 40 CC 21 B6 3F
+DC CE 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 21 38 40 CA 21 A3 3F 26 CA 04 4F 4E 4C
+59 00 82 43 CC 21 30 4D 10 D1 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 21 C8 21 30 4D 24 D2
+82 D2 96 D2 A6 D2 3A 4E 82 4A C6 21 2E 4E 82 4E
+C4 21 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 D2 09 50 57 52 5F 53
+54 41 54 45 84 12 9E D2 72 D6 70 E1 FE D0 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 D2 92 42
+0C 18 EA D2 EF 3F DA D2 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 21 E8 D2 92 42 C4 21 EA D2 30 4D
+EE D2 08 52 53 54 5F 48 45 52 45 00 92 42 C6 21
+0E 18 92 42 C4 21 0C 18 EC 3F B2 40 D4 D4 38 D5
+B2 40 9E C8 92 C8 B2 40 10 C9 20 C9 B2 40 4C C9
+60 C9 B2 40 16 C8 24 C8 82 43 34 DD 82 43 40 DD
+82 43 4C DD 82 43 7C DD 82 43 88 DD 82 43 94 DD
+B2 40 0A 00 DA 21 30 41 E0 D1 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 DA FF FA 23
+B0 12 3A D3 B2 40 70 E1 0C 18 B2 40 72 D6 0E 18
+AB 3F B4 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 D0 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 D3 86 5B 45 4C 53 45 5D 00 87 12
+34 C4 01 00 90 C6 3E CA AC CC 44 C4 E0 C5 5C D4
+8A C4 8A C4 BE C9 04 5B 49 46 5D 00 AC D3 EA C5
+1A D4 FC CA 2C C5 DC C5 50 D4 8A C4 8A C4 BE C9
+06 5B 45 4C 53 45 5D 00 AC D3 EA C5 3E D4 FC CA
+E0 D3 44 C4 E0 C5 3A D4 2C C5 DC C5 50 D4 BE C9
+06 5B 54 48 45 4E 5D 00 AC D3 EA C5 50 D4 E0 D3
+54 C4 EA C5 58 D4 2A C4 DC C5 F4 D3 FC CA BE C9
+03 0D 6B 6F A2 C9 6A C9 5A C6 44 C4 64 C6 30 C8
+72 D4 82 43 C2 21 82 4E BE 21 B2 4F C0 21 3E 4F
+3D 40 F4 D3 30 4D E6 D3 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 D4 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 C6 3E CA 9C CA 6C C4 86 C5
+2A C4 98 D4 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 C6 3E CA 9C CA 6C C4 2A C4 64 D0 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE C9 06 0D 1B 5B
+37 6D 23 00 A2 C9 AC C7 BE C9 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 C9 34 C4 30 FF C2 C7 28 C5 78 C7 BE C9
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 CD 7A D3
+04 57 41 52 4D 00 30 40 D4 D4 A4 D3 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A CC 01 B2 43
+02 02 B2 D3 06 02 F2 D0 06 00 24 02 F2 D3 26 02
+F2 40 FD 00 22 02 F2 40 A5 00 A1 01 F2 40 10 00
+A0 01 D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01
+80 01 B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40
+40 00 B2 D0 10 00 86 01 92 D2 5E 01 08 18 A2 93
+08 18 01 24 59 03 38 43 18 83 FE 23 19 83 FB 23
+3A 40 48 D5 39 40 DA FF 89 4A 00 00 29 53 FC 23
+92 42 02 18 E4 FF B2 40 18 00 0A 18 31 40 E0 20
+3F 40 80 20 37 40 00 C4 36 40 BC C4 35 40 08 C4
+34 40 14 C4 B2 40 0A 00 DA 21 B2 43 AC 21 92 C3
+30 01 18 42 08 18 F2 B0 10 00 00 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 00 05 B2 40 11 00
+06 05 B2 40 00 4A 08 05 F2 D0 30 00 0A 02 92 C3
+00 05 92 D3 1A 05 3D 40 40 D6 18 42 08 18 38 90
+0A 00 A6 26 38 90 16 00 A3 2E 28 93 5D 22 52 26
+36 D5 4C D2 09 41 53 53 45 4D 42 4C 45 52 84 12
+14 D2 98 DC 44 DD 4C DC 98 DD 12 DC CC DC 16 D9
+00 00 08 DC B8 DC 6A DC A8 DC 26 DA 00 00 00 00
+AA DD 48 D2 CC D4 85 48 49 32 4C 4F 87 12 C2 C7
+7C D0 64 CC 5C CE 52 D2 4E D6 2A C4 3C D5 04 43
+4F 44 45 00 B0 12 26 CF A2 82 C4 21 87 12 F8 CF
+DC C5 86 D6 00 00 07 45 4E 44 43 4F 44 45 87 12
+6C D2 0A CF 2A C4 44 D6 03 41 53 4D 92 42 C8 21
+B8 21 B2 40 52 D6 C8 21 E5 3F A6 D6 06 45 4E 44
+41 53 4D 00 92 42 B8 21 C8 21 E9 3F 00 00 05 43
+4F 4C 4F 4E 1A 42 C4 21 BA 40 87 12 00 00 A2 53
+C4 21 B2 43 B6 21 30 40 6C D2 A4 C6 05 4C 4F 32
+48 49 1A 42 C4 21 BA 40 B0 12 00 00 BA 40 2A C4
+02 00 A2 52 C4 21 ED 3F 38 40 BE 21 39 48 2A 48
+09 5A 1A 52 C2 21 09 9A 03 24 7E 9A FC 27 1A 83
+0E 4A 2A 88 82 4A C2 21 30 4D B0 12 2A C4 3E CA
+9C CA EA C5 4E D7 5E CB E0 C5 4A CE 70 D7 50 D7
+29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
+03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
+30 41 19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 40
+29 00 12 12 C2 21 92 53 C2 21 B0 12 2A C4 3E CA
+5E CB E0 C5 A2 D7 98 D7 21 53 3E 90 10 00 BB 2D
+30 41 A4 D7 B2 41 C2 21 22 D3 30 41 87 12 90 C6
+18 D7 B4 D7 82 43 BC 21 92 42 C4 21 BA 21 A2 53
+C4 21 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
+C2 21 B0 12 3A D7 0E 93 04 20 B2 40 00 03 BC 21
+27 3C 1E 93 04 20 B2 40 10 03 BC 21 21 3C 2E 93
+04 20 B2 40 20 03 BC 21 1B 3C 2E 92 04 20 B2 40
+20 02 BC 21 15 3C 3E 92 04 20 B2 40 30 02 BC 21
+0F 3C 3E 93 04 20 B2 40 30 03 BC 21 09 3C B2 40
+30 00 BC 21 19 42 C4 21 A2 53 C4 21 89 4E 00 00
+3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
+10 02 BC 21 92 53 C2 21 30 12 24 D8 76 3F FA 90
+40 00 00 00 1A 20 B2 40 20 00 BC 21 92 53 C2 21
+B0 12 82 D7 0E 20 B2 50 10 00 BC 21 3E 40 2B 00
+B0 12 82 D7 32 24 92 92 BE 21 C2 21 02 24 92 53
+C2 21 8E 10 82 5E BC 21 D3 3F B0 12 82 D7 F9 23
+B2 50 10 00 BC 21 3E 40 28 00 B0 12 3A D7 30 12
+74 D8 67 3F 87 12 90 C6 18 D7 AC D8 FE 90 26 00
+00 00 3E 40 20 00 04 20 B2 50 82 00 BC 21 C2 3F
+B0 12 82 D7 DF 23 B2 50 80 00 BC 21 3E 40 28 00
+B0 12 3A D7 B0 12 72 D7 D5 23 3D 40 4A CE 30 4D
+8A C8 04 52 45 54 49 00 87 12 34 C4 00 13 64 CC
+2A C4 34 C4 2C 00 AC D7 A4 D8 FC D8 2E 4E 1E D2
+BC 21 19 42 BA 21 92 3F FC D6 03 4D 4F 56 84 12
+F2 D8 00 40 0A D9 05 4D 4F 56 2E 42 84 12 F2 D8
+40 40 00 00 03 41 44 44 84 12 F2 D8 00 50 24 D9
+05 41 44 44 2E 42 84 12 F2 D8 40 50 30 D9 04 41
+44 44 43 00 84 12 F2 D8 00 60 3E D9 06 41 44 44
+43 2E 42 00 84 12 F2 D8 40 60 E2 D8 04 53 55 42
+43 00 84 12 F2 D8 00 70 5C D9 06 53 55 42 43 2E
+42 00 84 12 F2 D8 40 70 6A D9 03 53 55 42 84 12
+F2 D8 00 80 7A D9 05 53 55 42 2E 42 84 12 F2 D8
+40 80 DE D6 03 43 4D 50 84 12 F2 D8 00 90 94 D9
+05 43 4D 50 2E 42 84 12 F2 D8 40 90 CC D6 04 44
+41 44 44 00 84 12 F2 D8 00 A0 AE D9 06 44 41 44
+44 2E 42 00 84 12 F2 D8 40 A0 A0 D9 03 42 49 54
+84 12 F2 D8 00 B0 CC D9 05 42 49 54 2E 42 84 12
+F2 D8 40 B0 D8 D9 03 42 49 43 84 12 F2 D8 00 C0
+E6 D9 05 42 49 43 2E 42 84 12 F2 D8 40 C0 F2 D9
+03 42 49 53 84 12 F2 D8 00 D0 00 DA 05 42 49 53
+2E 42 84 12 F2 D8 40 D0 00 00 03 58 4F 52 84 12
+F2 D8 00 E0 1A DA 05 58 4F 52 2E 42 84 12 F2 D8
+40 E0 4C D9 03 41 4E 44 84 12 F2 D8 00 F0 34 DA
+05 41 4E 44 2E 42 84 12 F2 D8 40 F0 90 C6 AC D7
+52 DA 1A 42 BC 21 B2 F0 70 00 BC 21 8A 10 3A F0
+0F 00 82 DA BC 21 4A 3F 86 D9 03 52 52 43 84 12
+4C DA 00 10 6A DA 05 52 52 43 2E 42 84 12 4C DA
+40 10 76 DA 04 53 57 50 42 00 84 12 4C DA 80 10
+84 DA 03 52 52 41 84 12 4C DA 00 11 92 DA 05 52
+52 41 2E 42 84 12 4C DA 40 11 9E DA 03 53 58 54
+84 12 4C DA 80 11 00 00 04 50 55 53 48 00 84 12
+4C DA 00 12 B8 DA 06 50 55 53 48 2E 42 00 84 12
+4C DA 40 12 0C DA 04 43 41 4C 4C 00 84 12 4C DA
+80 12 34 C4 2C 00 AC D7 A4 D8 EC DA 59 42 BC 21
+5A 42 BD 21 82 4A BC 21 BE 90 00 15 00 00 02 20
+0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
+A8 3F 1A 53 0E 4A 87 12 AC C7 BE C9 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 CA CD C6 DA 05 50
+55 53 48 4D 84 12 E2 DA 00 15 2E DB 04 50 4F 50
+4D 00 84 12 E2 DA 00 17 90 C6 18 D7 4E DB 82 43
+BC 21 92 42 C4 21 BA 21 A2 53 C4 21 92 53 C2 21
+3E 40 2C 00 B0 12 2A C4 3E CA 5E CB E0 C5 4A CE
+A4 D8 74 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
+5A 06 6F 3F AC DA 04 52 52 43 4D 00 84 12 48 DB
+50 00 86 DB 04 52 52 41 4D 00 84 12 48 DB 50 01
+94 DB 04 52 4C 41 4D 00 84 12 48 DB 50 02 A2 DB
+04 52 52 55 4D 00 84 12 48 DB 50 03 85 12 00 3C
+B0 DB 03 53 3E 3D 85 12 00 38 C2 DB 02 53 3C 00
+85 12 00 34 3C DB 03 30 3E 3D 85 12 00 30 D6 DB
+02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
+00 2C EA DB 03 55 3E 3D 85 12 00 28 E0 DB 03 30
+3C 3E 85 12 00 24 FE DB 02 30 3D 00 85 12 00 20
+96 C8 02 49 46 00 1A 42 C4 21 8A 4E 00 00 A2 53
+C4 21 0E 4A 30 4D F4 DB 04 54 48 45 4E 00 1A 42
+C4 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 68 2F 88 DA 00 00 30 4D BC D9 04 45 4C 53
+45 00 1A 42 C4 21 BA 40 00 3C 00 00 A2 53 C4 21
+2F 83 8F 4A 00 00 E3 3F 28 DC 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C4 21 2A 83 0A 89 0A 11
+3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C4 21 30 4D 40 DA 05 41 47 41 49 4E 87 12
+BC DB 70 DC 2A C4 00 00 05 57 48 49 4C 45 87 12
+16 DC 78 C4 2A C4 CC DB 06 52 45 50 45 41 54 00
+87 12 BC DB 70 DC 2E DC 2A C4 00 00 03 4A 4D 50
+87 12 3C CE BC DB 70 DC 2A C4 3E B0 00 10 03 20
+3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
+00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
+50 00 87 12 DA DC 3C CE 78 C4 70 DC 2A C4 10 DD
+3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 21
+00 00 30 4D 88 43 00 00 A4 3F D6 DA 03 42 57 31
+84 12 0E DD 00 00 2C DD 03 42 57 32 84 12 0E DD
+00 00 38 DD 03 42 57 33 84 12 0E DD 00 00 50 DD
+3D 41 1A 42 C4 21 28 4E 08 93 08 20 BA 4F 00 00
+A2 53 C4 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
+61 3F 00 00 03 46 57 31 84 12 4E DD 00 00 74 DD
+03 46 57 32 84 12 4E DD 00 00 80 DD 03 46 57 33
+84 12 4E DD 00 00 8C DD 04 47 4F 54 4F 00 87 12
+BC DB 3C CE 5A CC 2A C4 FC DC 05 3F 47 4F 54 4F
+87 12 DA DC 3C CE 5A CC 2A C4 B4 D4 09 7B 55 54
+49 4C 49 54 59 7D 30 4D 7C D2 02 2E 53 00 8F 4E
+FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83
+8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4
+3C 00 1E C9 AC C7 34 C4 08 00 1E C9 34 C4 3E 00
+1E C9 6A C9 8A C4 8A C4 C4 C5 EA C5 14 DE 62 C4
+62 C4 2A C4 F0 C5 28 C6 F2 C4 78 C7 34 C4 02 00
+00 C6 16 DE 2A C4 CA DD 03 2E 52 53 8F 4E FE FF
+8F 41 FA FF 3E 40 E0 20 D3 3F 04 CB 01 3F 2E 4E
+30 40 78 C7 30 D5 05 57 4F 52 44 53 87 12 5E C9
+34 C4 03 00 80 C9 34 C4 CA 21 F2 C4 50 C6 34 C4
+10 00 44 C4 20 C5 AE D1 34 C4 00 00 44 C4 34 C4
+10 00 44 C4 20 C5 34 C4 00 00 F0 C5 44 C4 28 C6
+50 C6 20 C5 F2 C4 D2 C5 E0 C5 9A DE 62 C4 62 C4
+28 C6 44 C4 50 C6 20 C5 F2 C4 34 C4 02 00 00 C6
+7C DE 54 C4 E0 C5 DC DE 44 C4 34 C4 02 00 28 C5
+F2 C4 9A C4 50 C6 20 C5 FA C4 44 C4 AC CC 34 C4
+7F 00 36 C5 A2 C9 08 C5 34 C4 0F 00 36 C5 34 C4
+10 00 78 C4 28 C5 80 C9 DC C5 68 DE 62 C4 2A C4
+A8 D1 03 4D 41 58 2E 9F 07 38 2F 53 30 4D E2 DE
+03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D C0 D0 03 55
+2E 52 87 12 B0 C4 9A C6 34 C4 00 00 F6 C6 28 C7
+42 C7 BC C4 8A C4 28 C5 34 C4 00 00 E6 DE 80 C9
+A2 C9 2A C4 8A D2 04 44 55 4D 50 00 0D 12 12 12
+DA 21 B2 40 10 00 DA 21 2E 5F B0 12 2A C4 78 C4
+8A C4 8A C4 78 C7 34 C4 01 00 28 C5 78 C7 34 C4
+F0 FF 36 C5 F0 C5 5E C9 28 C6 34 C4 07 00 02 DF
+6A C9 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6
+08 C5 34 C4 03 00 02 DF 12 C6 6E DF 6A C9 6A C9
 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5
-34 C4 7E 00 02 DE 90 C6 F4 DD EC C8 12 C6 8C DE
-34 C4 10 00 00 C6 56 DE BC C4 7A C6 FA C4 2A C4
+34 C4 7E 00 F4 DE 90 C6 E6 DE 1E C9 12 C6 8C DF
+34 C4 10 00 00 C6 56 DF BC C4 7A C6 FA C4 2A C4
+BC DD 0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D
+76 D6 05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 21
+19 83 1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48
+AA 21 3E 4F 30 4D 1E D2 02 46 2B 00 BF 5F 02 00
+3E 6F 30 4D E8 DF 02 46 2D 00 BF 8F 02 00 8F 7E
+00 00 3E 4F 30 4D F6 DF 02 46 2F 00 1C 4F 02 00
+0C EE 0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F
+04 24 38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43
+26 4F 35 40 20 00 0A 9E 01 20 09 96 02 28 09 86
+0A 7E 07 67 04 64 15 83 09 30 0B 5B 08 68 09 69
+0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47
+00 00 0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 30 4D 08 E0 03 46 23 53 2F 83
+8F 4E 00 00 2B 42 B2 90 0A 00 DA 21 01 20 1B 53
+0C 43 A2 4F C0 04 92 42 DA 21 C8 04 9F 42 E4 04
+00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00
+3E 50 30 00 CC 4E 88 21 1C 53 0C 9B EA 2B 0E 4B
+8F 43 00 00 39 40 88 21 78 3F 8A E0 02 46 2A 00
+92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04
+82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00
+1E 42 E8 04 30 4D DC E0 02 46 2E 00 87 12 9A C6
+44 C4 B0 C4 6E C5 78 C4 8E E0 34 C4 2C 00 58 C7
+28 C7 BC C4 66 C7 42 C7 A2 C9 6A C9 2A C4 22 D3
+03 53 3E 46 2F 83 8F 43 00 00 30 4D 26 DF 03 44
+3E 46 2E 4F 8F 43 00 00 30 4D 30 E1 09 32 43 4F
+4E 53 54 41 4E 54 87 12 9E CF 78 C4 64 CC 64 CC
+B6 CF 64 E1 2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFDA
-62 D4 62 D4 62 D4 62 D4 62 D4 8A C8 62 D4 62 D4
-62 D4 62 D4 62 D4 62 D4 62 D4 62 D4 62 D4 62 D4
-62 D4 62 D4 62 D4
+48 D5 48 D5 48 D5 48 D5 48 D5 A4 C8 48 D5 48 D5
+48 D5 48 D5 48 D5 48 D5 48 D5 48 D5 48 D5 48 D5
+48 D5 48 D5 48 D5
 q
index 20b9d07..7b328f1 100644 (file)
@@ -80,149 +80,151 @@ DIR             FAT             CLUSTER         SECTOR          {SD_TOOLS}
 
 FORTH WORDS
 
-ASM <word> --       used to begin an assembler word which is not interpretable by FORTH (because use of CALL ... RET).
-                    this defined <word> must be ended with ENDASM.
-
-CODE <word> --      begins an assembler word interpretable by FORTH (MOV @IP+,PC instead of CALL ... RET)
-                    this defined <word> must be ended with ENDCODE.
-
-HI2LO --            used to switch from a high level (FORTH) to low level (assembler) modes.
-
-COLD --             Software reset
-
-WARM --             DEFERred word initialized by default with (WARM)
-
-(WARM) --           performs a hot start
-
-WIPE --             resets the program memory to its original state before any add.
-
-RST_HERE --         defines the bound of the program memory protected against COLD or hardware reset.
-
-PWR_HERE --         defines the bound of the program memory protected against ON/OFF.
-
-RST_STATE --        remove all words defined after RST_HERE
-
-PWR_STATE --        remove all words defined after PWR_HERE
-
-MOVE
-LEAVE           
-+LOOP           
-LOOP            
-DO              
-REPEAT          
-WHILE           
-AGAIN           
-UNTIL           
-BEGIN           
-THEN            
-ELSE            
-IF              
-;               
-:               
-DEFER           
-DOES>           
-CREATE          
-CONSTANT        
-VARIABLE        
-POSTPONE        
-RECURSE         
-IMMEDIATE       
-IS              
-[']             
-]               
-[               
-\               
-'               
-ABORT"          
-ABORT           
-QUIT            
-EVALUATE        
-COUNT           
-LITERAL         
-,               
-EXECUTE         
->NUMBER         
-FIND            
-WORD            
-."              
-S"              
-TYPE            
-SPACES          
-SPACE           
-CR              
-(CR)            
-NOECHO          
-ECHO            
-EMIT            
-(EMIT)          
-(ACCEPT)        
-ACCEPT          
-KEY             
-(KEY)           
-C,              
-ALLOT           
-HERE            
-.               
-D.              
-U.              
-SIGN            
-HOLD            
-#>              
-#S              
-#               
-<#              
-BL              
-STATE           
-BASE            
->IN             
-CPL     -- size         of terminal input buffer TIB
-TIB     -- addr         of terminal input buffer TIB            
-PAD     -- addr         of PAD            
-J               
-I               
-UNLOOP          
-U<              
->               
-<               
-=               
-0>              
-0<              
-0=              
-DABS            
-ABS             
-NEGATE          
-XOR             
-OR              
-AND             
--               
-+               
-C!              
-C@              
-!               
-@               
-DEPTH           
-R@              
-R>              
->R              
-ROT             
-OVER            
-SWAP            
-NIP             
-DROP            
-?DUP            
-DUP             
-LIT --          execution part of LITERAL            
-EXIT
-
-
-
-ASSEMBLER WORDS see: http://www.ece.utep.edu/courses/web3376/Notes_files/ee3376-isa.pdf
-                     http://www.ti.com/lit/ug/slau367n/slau367n.pdf#page=158
+ASM <word>      creates an assembler word which is not interpretable by FORTH (because use of CALL ... RET).
+                this defined <word> must be ended with ENDASM.
+
+CODE <word>     creates an assembler word interpretable by FORTH (MOV @IP+,PC instead of CALL ... RET)
+                this defined <word> must be ended with ENDCODE.
+
+HI2LO           used to switch from a high level (FORTH) to low level (assembler) modes.
+
+COLD            Software reset
+
+WARM            DEFERed word, initially executes (WARM)
+
+(WARM)          performs a hot start
+
+WIPE            resets the program memory to its original state.
+
+RST_HERE        defines the bound of the program memory protected against COLD or hardware reset.
+
+PWR_HERE        defines the bound of the program memory protected against ON/OFF and against any error occurring.
+
+RST_STATE       remove all words defined after RST_HERE
+
+PWR_STATE       remove all words defined after PWR_HERE
+
+MOVE            https://forth-standard.org/standard/core/MOVE
+LEAVE           https://forth-standard.org/standard/core/LEAVE
++LOOP           https://forth-standard.org/standard/core/PlusLOOP
+LOOP            https://forth-standard.org/standard/core/LOOP
+DO              https://forth-standard.org/standard/core/DO        
+REPEAT          https://forth-standard.org/standard/core/REPEAT
+WHILE           https://forth-standard.org/standard/core/WHILE
+AGAIN           https://forth-standard.org/standard/core/AGAIN
+UNTIL           https://forth-standard.org/standard/core/UNTIL
+BEGIN           https://forth-standard.org/standard/core/BEGIN
+THEN            https://forth-standard.org/standard/core/THEN
+ELSE            https://forth-standard.org/standard/core/ELSE
+IF              https://forth-standard.org/standard/core/IF
+;               https://forth-standard.org/standard/core/Semi
+:               https://forth-standard.org/standard/core/Colon
+DEFER           https://forth-standard.org/standard/core/DEFER
+DOES>           https://forth-standard.org/standard/core/DOES
+CREATE          https://forth-standard.org/standard/core/CREATE
+CONSTANT        https://forth-standard.org/standard/core/CONSTANT
+VARIABLE        https://forth-standard.org/standard/core/VARIABLE
+POSTPONE        https://forth-standard.org/standard/core/POSTPONE
+RECURSE         https://forth-standard.org/standard/core/RECURSE
+IMMEDIATE       https://forth-standard.org/standard/core/IMMEDIATE
+IS              https://forth-standard.org/standard/core/IS
+[']             https://forth-standard.org/standard/core/BracketTick
+]               https://forth-standard.org/standard/core/right-bracket
+[               https://forth-standard.org/standard/core/Bracket
+\               https://forth-standard.org/standard/block/bs
+'               https://forth-standard.org/standard/core/Tick
+ABORT"          https://forth-standard.org/standard/core/ABORTq
+ABORT           https://forth-standard.org/standard/core/ABORT
+QUIT            https://forth-standard.org/standard/core/QUIT
+EVALUATE        https://forth-standard.org/standard/core/EVALUATE
+COUNT           https://forth-standard.org/standard/core/COUNT
+LITERAL         https://forth-standard.org/standard/core/LITERAL
+,               https://forth-standard.org/standard/core/Comma
+EXECUTE         https://forth-standard.org/standard/core/EXECUTE
+>NUMBER         https://forth-standard.org/standard/core/toNUMBER
+FIND            https://forth-standard.org/standard/core/FIND
+WORD            https://forth-standard.org/standard/core/WORD
+."              https://forth-standard.org/standard/core/Dotq
+S"              https://forth-standard.org/standard/core/Sq
+TYPE            https://forth-standard.org/standard/core/TYPE
+SPACES          https://forth-standard.org/standard/core/SPACES
+SPACE           https://forth-standard.org/standard/core/SPACE
+CR              DEFERed word, initially executes (CR)
+(CR)            https://forth-standard.org/standard/core/CR
+NOECHO          stop display on output 
+ECHO            start display on output
+EMIT            DEFERed word, initially executes (EMIT)
+(EMIT)          https://forth-standard.org/standard/core/EMIT
+(ACCEPT)        https://forth-standard.org/standard/core/ACCEPT
+ACCEPT          DEFERed word, initially executes (ACCEPT)
+KEY             DEFERed word, initially executes (KEY)
+(KEY)           https://forth-standard.org/standard/core/KEY
+C,              https://forth-standard.org/standard/core/CComma
+ALLOT           https://forth-standard.org/standard/core/ALLOT
+HERE            https://forth-standard.org/standard/core/HERE
+.               https://forth-standard.org/standard/core/d
+D.              https://forth-standard.org/standard/double/Dd
+U.              https://forth-standard.org/standard/core/Ud
+SIGN            https://forth-standard.org/standard/core/SIGN
+HOLD            https://forth-standard.org/standard/core/HOLD
+#>              https://forth-standard.org/standard/core/num-end
+#S              https://forth-standard.org/standard/core/numS
+#               https://forth-standard.org/standard/core/num
+<#              https://forth-standard.org/standard/core/num-start
+BL              https://forth-standard.org/standard/core/BL
+STATE           https://forth-standard.org/standard/core/STATE
+BASE            https://forth-standard.org/standard/core/BASE
+>IN             https://forth-standard.org/standard/core/toIN
+CPL  -- size    of terminal input buffer TIB
+TIB  -- addr    of terminal input buffer TIB            
+PAD  -- addr    of PAD            
+J               https://forth-standard.org/standard/core/J
+I               https://forth-standard.org/standard/core/I
+UNLOOP          https://forth-standard.org/standard/core/UNLOOP
+U<              https://forth-standard.org/standard/core/Uless
+>               https://forth-standard.org/standard/core/more
+<               https://forth-standard.org/standard/core/less
+=               https://forth-standard.org/standard/core/Equal
+0>              https://forth-standard.org/standard/core/Zeromore
+0<              https://forth-standard.org/standard/core/Zeroless
+0=              https://forth-standard.org/standard/core/ZeroEqual
+DABS            https://forth-standard.org/standard/double/DABS
+ABS             https://forth-standard.org/standard/core/ABS
+NEGATE          https://forth-standard.org/standard/core/NEGATE
+XOR             https://forth-standard.org/standard/core/XOR
+OR              https://forth-standard.org/standard/core/OR
+AND             https://forth-standard.org/standard/core/AND
+-               https://forth-standard.org/standard/core/Minus
++               https://forth-standard.org/standard/core/Plus
+C!              https://forth-standard.org/standard/core/CStore
+C@              https://forth-standard.org/standard/core/CFetch
+!               https://forth-standard.org/standard/core/Store
+@               https://forth-standard.org/standard/core/Fetch
+DEPTH           https://forth-standard.org/standard/core/DEPTH
+R@              https://forth-standard.org/standard/core/RFetch
+R>              https://forth-standard.org/standard/core/Rfrom
+>R              https://forth-standard.org/standard/core/toR
+ROT             https://forth-standard.org/standard/core/ROT
+OVER            https://forth-standard.org/standard/core/OVER
+SWAP            https://forth-standard.org/standard/core/SWAP
+NIP             https://forth-standard.org/standard/core/NIP
+DROP            https://forth-standard.org/standard/core/DROP
+?DUP            https://forth-standard.org/standard/core/qDUP
+DUP             https://forth-standard.org/standard/core/DUP
+LIT             execution part of LITERAL            
+EXIT            https://forth-standard.org/standard/core/EXIT
+
+
+
+ASSEMBLER vocabulary
+--------------------
+ASSEMBLER WORDS set see: http://www.ece.utep.edu/courses/web3376/Notes_files/ee3376-isa.pdf
+                     http://www.ti.com/lit/ug/slau367n/slau367n.pdf#page=157
                      howto.md for symbolic alias of registers, symbolic jumps (IF ELSE THEN...),..
 
 
 
-?GOTO           used after a conditionnal to branch to a label FWx or BWx
+?GOTO           used after a conditionnal (0=,0<>,U>=,U<,0<,S<,S>=) to branch to a label FWx or BWx
 GOTO            used as unconditionnal branch to a label FWx or BWx
 
 FW3             FORWARD branch destination n°3
@@ -233,7 +235,7 @@ BW3             BACKWARD branch destination n
 BW2             
 BW1          
 
-?JMP            used after a conditionnal to jump to a defined word
+?JMP            used after a conditionnal (0=,0<>,U>=,U<,0<,S<,S>=) to jump to a defined word
 JMP             unconditionnal jump to a defined word
 
 REPEAT          assembler version of the FORTH word REPEAT
@@ -253,62 +255,120 @@ U<              conditionnal
 S<              conditionnal
 S>=             conditionnal
 
-RRUM            used as : RRUM n,REG   with 0 < n < 5
-RLAM            same syntax
-RRAM            same syntax
-RRCM            same syntax
-POPM            POP multiple registers, used as : POPM X,S  to pop X,W,T,S
-PUSHM           PUSH multiple registers, used as : PUSHM S,X   to push S,T,W,X
-
-CALL            see TI assembler
-PUSH.B          
-PUSH            
-SXT             
-RRA.B           
-RRA             
-SWPB            
-RRC.B           
-RRC          
-AND.B           
-AND             
-XOR.B           
-XOR             
-BIS.B           
-BIS             
-BIC.B           
-BIC          
-BIT.B           
-BIT             
-DADD.B          
-DADD            
-CMP.B           
-CMP             
-SUB.B           
-SUB          
-SUBC.B          
-SUBC            
-ADDC.B          
-ADDC            
-ADD.B           
-ADD             
-MOV.B           
-MOV          
-RETI            
+ASSEMBLER WORDS set: http://www.ti.com/lit/ug/slau367n/slau367n.pdf
+
+
+RRUM            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=218
+RLAM            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=208
+RRAM            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=211
+RRCM            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=214
+POPM            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=204    syntax: POPM X,S    to pop X,W,T,S
+PUSHM           http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=205    syntax: PUSHM S,X   to push S,T,W,X
+
+CALL            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=142
+PUSH.B  PUSH    http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=168
+SXT             http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=182
+RRA.B   RRA     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=173
+SWPB            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=181
+RRC.B   RRC     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=174
+AND.B   AND     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=137
+XOR.B   XOR     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=184
+BIS.B   BIS     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=139
+BIC.B   BIC     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=138
+BIT.B   BIT     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=140
+DADD.B  DADD    http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=149
+CMP.B   CMP     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=147
+SUB.B   SUB     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=179
+SUBC.B  SUBC    http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=180
+ADDC.B  ADDC    http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=136
+ADD.B   ADD     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=135
+MOV.B   MOV     http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=165
+RETI            http://www.ti.com/lit/ug/slau272c/slau272c.pdf#page=170
 
 LO2HI           switch between low level and high level interpretation mode (counterpart of HI2LO), without saving IP.
-COLON           PUSH IP then performs LO2HI, used as CODE <word> ... assembler cmd ... COLON ... FORTH words ... ;
+COLON           pushes IP then performs LO2HI, used as: CODE <word> ... assembler cmd ... COLON ... FORTH words ... ;
 ENDASM          to end an ASM definition
 ENDCODE         to end a CODE definition
 (SLEEP)         the default SLEEP definition
-SLEEP           DEFERred word initialised with (SLEEP), which enables to create a background task.
+SLEEP           DEFERed word, initially executes (SLEEP), which enables to create a background task.
 
 
 CONDCOMP ADD-ON
 ---------------
-[DEFINED]  
-[UNDEFINED]
-[IF]
-[ELSE]
-[THEN]
-COMPARE                 
-MARKER        
+[DEFINED]       https://forth-standard.org/standard/tools/BracketDEFINED
+[UNDEFINED]     https://forth-standard.org/standard/tools/BracketUNDEFINED
+[IF]            https://forth-standard.org/standard/tools/BracketIF
+[ELSE]          https://forth-standard.org/standard/tools/BracketELSE
+[THEN]          https://forth-standard.org/standard/tools/BracketTHEN
+COMPARE         https://forth-standard.org/standard/string/COMPARE
+MARKER          https://forth-standard.org/standard/core/MARKER
+
+VOCABULARY ADD-ON (not ANS)
+-----------------
+DEFINITIONS     https://forth-standard.org/standard/search/DEFINITIONS
+ONLY            https://forth-standard.org/standard/search/ONLY
+PREVIOUS        https://forth-standard.org/standard/search/PREVIOUS
+ALSO            https://forth-standard.org/standard/search/ALSO
+ASSEMBLER       assembler VOCABULARY
+FORTH           FORTH VOCABULARY
+VOCABULARY <word>     creates a new VOCABULARY named word
+
+ANS_COMPLEMENT ADD-ON
+---------------------
+>BODY           https://forth-standard.org/standard/core/toBODY
+SOURCE          https://forth-standard.org/standard/core/SOURCE
+.(              https://forth-standard.org/standard/core/Dotp
+(               https://forth-standard.org/standard/core/p
+DECIMAL         https://forth-standard.org/standard/core/DECIMAL
+HEX             https://forth-standard.org/standard/core/HEX
+FILL            https://forth-standard.org/standard/core/FILL
+[CHAR]          https://forth-standard.org/standard/core/BracketCHAR
+CHAR            https://forth-standard.org/standard/core/CHAR
++!              https://forth-standard.org/standard/core/PlusStore
+2/              https://forth-standard.org/standard/core/TwoDiv
+2*              https://forth-standard.org/standard/core/TwoTimes
+MIN             https://forth-standard.org/standard/core/MIN
+MAX             https://forth-standard.org/standard/core/MAX
+1-              https://forth-standard.org/standard/core/OneMinus
+1+              https://forth-standard.org/standard/core/OnePlus
+RSHIFT          https://forth-standard.org/standard/core/RSHIFT
+LSHIFT          https://forth-standard.org/standard/core/LSHIFT
+INVERT          https://forth-standard.org/standard/core/INVERT
+2OVER           https://forth-standard.org/standard/core/TwoOVER
+2SWAP           https://forth-standard.org/standard/core/TwoSWAP
+2DROP           https://forth-standard.org/standard/core/TwoDROP
+2DUP            https://forth-standard.org/standard/core/TwoDUP
+2!              https://forth-standard.org/standard/core/TwoStore
+2@              https://forth-standard.org/standard/core/TwoFetch
+S>D             https://forth-standard.org/standard/core/StoD
+CELL+           https://forth-standard.org/standard/core/CELLPlus
+CELLS           https://forth-standard.org/standard/core/CELLS
+CHAR+           https://forth-standard.org/standard/core/CHARPlus
+CHARS           https://forth-standard.org/standard/core/CHARS
+ALIGN           https://forth-standard.org/standard/core/ALIGN
+ALIGNED         https://forth-standard.org/standard/core/ALIGNED
+*/              https://forth-standard.org/standard/core/TimesDiv
+*/MOD           https://forth-standard.org/standard/core/TimesDivMOD
+MOD             https://forth-standard.org/standard/core/MOD
+/               https://forth-standard.org/standard/core/Div
+/MOD            https://forth-standard.org/standard/core/DivMOD
+*               https://forth-standard.org/standard/core/Times
+FM/MOD          https://forth-standard.org/standard/core/FMDivMOD
+SM/REM          https://forth-standard.org/standard/core/SMDivREM
+UM/MOD          https://forth-standard.org/standard/core/UMDivMOD
+M*              https://forth-standard.org/standard/core/MTimes
+UM*             https://forth-standard.org/standard/core/UMTimes
+{ANS_COMP}      
+
+
+UTILITY ADD-ON
+--------------
+DUMP            https://forth-standard.org/standard/tools/DUMP  
+U.R               
+WORDS           https://forth-standard.org/standard/tools/WORDS 
+?               https://forth-standard.org/standard/tools/q
+.RS             
+.S              https://forth-standard.org/standard/tools/DotS
+{UTILITY}       
+
+
index d4bf917..fc72ac1 100644 (file)
@@ -1,7 +1,6 @@
-; -------------------------------------------------------------------------------
-; ANS complement for MSP430FRxxxx devices with hardware_MPY, to pass CORETEST.4th
-; when downloading to SD_CARD target, truncate filename ANS_COMP_HMPY.4th to ANS_COMP.4th
-; -------------------------------------------------------------------------------
+\ ------------------------------------------------------------------------------
+\ ANS_COMP.f                               words complement to pass CORETEST.4th
+\ ------------------------------------------------------------------------------
 
 \ TARGET SELECTION
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
 \ JMJ_BOX
 
 PWR_STATE
-
-[DEFINED] ASM [UNDEFINED] {ANS_COMP} AND [IF]
+    \
+[DEFINED] {ANS_COMP} [IF] {ANS_COMP} [THEN] \ remove {ANS_COMP} if outside core  
+    \
+[DEFINED] ASM [UNDEFINED] {ANS_COMP} AND [IF] \ assembler required, don't replicate {ANS_COMP} inside core
     \
 
 MARKER {ANS_COMP}
     \
 
-
-CODE INVERT     \   x1 -- x2            bitwise inversion
-            XOR #-1,TOS
-            MOV @IP+,PC
+\ https://forth-standard.org/standard/core/INVERT
+\ INVERT   x1 -- x2            bitwise inversion
+CODE INVERT
+XOR #-1,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE LSHIFT     \   x1 u -- x2    logical L shift u places
+\ https://forth-standard.org/standard/core/LSHIFT
+\ LSHIFT  x1 u -- x2    logical L shift u places
+CODE LSHIFT
             MOV @PSP+,W
             AND #$1F,TOS        \ no need to shift more than 16
 0<> IF
@@ -36,7 +40,9 @@ THEN        MOV W,TOS
 ENDCODE
     \
 
-CODE RSHIFT \   x1 u -- x2    logical rEXIT shift u places
+\ https://forth-standard.org/standard/core/RSHIFT
+\ RSHIFT  x1 u -- x2    logical R shift u places
+CODE RSHIFT
             MOV @PSP+,W
             AND #$1F,TOS       \ no need to shift more than 16
 0<> IF
@@ -49,45 +55,57 @@ THEN        MOV W,TOS
 ENDCODE
     \
 
-CODE 1+     \    n1/u1 -- n2/u2       add 1 to TOS
-            ADD #1,TOS
-            MOV @IP+,PC
+\ https://forth-standard.org/standard/core/OnePlus
+\ 1+      n1/u1 -- n2/u2       add 1 to TOS
+CODE 1+
+ADD #1,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE 1-     \ n1/u1 -- n2/u2     subtract 1 from TOS
-            SUB #1,TOS
-            MOV @IP+,PC
+\ https://forth-standard.org/standard/core/OneMinus
+\ 1-      n1/u1 -- n2/u2     subtract 1 from TOS
+CODE 1-
+SUB #1,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
 [UNDEFINED] MAX [IF]
-CODE MAX    \    n1 n2 -- n3       signed maximum
-            CMP     @PSP,TOS    \ n2-n1
-            S<      ?GOTO FW1   \ n2<n1
-BW1         ADD     #2,PSP
-            MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/MAX
+\ MAX    n1 n2 -- n3       signed maximum
+CODE MAX
+    CMP @PSP,TOS    \ n2-n1
+    S<  ?GOTO FW1   \ n2<n1
+BW1 ADD #2,PSP
+    MOV @IP+,PC
 ENDCODE
     \
 
-CODE MIN    \    n1 n2 -- n3       signed minimum
-            CMP     @PSP,TOS     \ n2-n1
-            S<      ?GOTO BW1    \ n2<n1
-FW1         MOV     @PSP+,TOS
-            MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/MIN
+\ MIN    n1 n2 -- n3       signed minimum
+CODE MIN
+    CMP @PSP,TOS    \ n2-n1
+    S< ?GOTO BW1    \ n2<n1
+FW1 MOV @PSP+,TOS
+    MOV @IP+,PC
 ENDCODE
 [THEN]
     \
 
-CODE 2*     \   x1 -- x2        arithmetic left shift
-            ADD TOS,TOS
-            MOV @IP+,PC
+\ https://forth-standard.org/standard/core/TwoTimes
+\ 2*      x1 -- x2         arithmetic left shift
+CODE 2*
+ADD TOS,TOS            
+MOV @IP+,PC            
 ENDCODE
     \
 
-CODE 2/     \   x1 -- x2        arithmetic right shift
-            RRA TOS
-            MOV @IP+,PC
+\ https://forth-standard.org/standard/core/TwoDiv
+\ 2/      x1 -- x2        arithmetic right shift
+CODE 2/
+RRA TOS
+MOV @IP+,PC
 ENDCODE
     \
 
@@ -95,24 +113,26 @@ ENDCODE
 \ ARITHMETIC OPERATORS
 \ --------------------
 
-$1A04 C@ $7F > [IF] ; test tag value MSP430FR413x without hardware_MPY 
+$1A04 C@ $EF > [IF] ; test tag value MSP430FR413x subfamily without hardware_MPY 
     \
-CODE M*             \ n1 n2 -- dlo dhi  signed 16*16->32 multiply             
-MOV TOS,S           \ TOS= n2
-XOR @PSP,S          \ S contains sign of result
-CMP #0,0(PSP)       \ n1 > -1 ?
+\ https://forth-standard.org/standard/core/MTimes
+\ M*     n1 n2 -- dlo dhi  signed 16*16->32 multiply
+CODE M*            
+MOV @PSP,S          \ S= n1
+CMP #0,S            \ n1 > -1 ?
 S< IF
     XOR #-1,0(PSP)  \ n1 --> u1
     ADD #1,0(PSP)   \
 THEN
+XOR TOS,S           \ S contains sign of result
 CMP #0,TOS          \ n2 > -1 ?
 S< IF
     XOR #-1,TOS     \ n2 --> u2 
     ADD #1,TOS      \
 THEN
-PUSHM IP,S
+PUSHM IP,S          \ UMSTAR use S,T,W,X,Y
 LO2HI               \ -- ud1 u2
-UM*                 \ UMSTAR use S,T,W,X,Y
+UM*       
 HI2LO
 POPM S,IP
 CMP #0,S            \ sign of result > -1 ?
@@ -122,87 +142,46 @@ S< IF
     ADD #1,0(PSP)
     ADDC #0,TOS
 THEN
-MOV     @IP+,PC
+MOV @IP+,PC
 ENDCODE
     \
-
 [ELSE]              ; MSP430FRxxxx with hardware_MPY
     \
-CODE UM*        \ u1 u2 -- udlo udhi    unsigned 16x16->32 mult.
-MOV @PSP,&MPY       \ Load 1st operand
-MOV TOS,&OP2        \ Load 2nd operand
-MOV &RES0,0(PSP)    \ low result on stack
-MOV &RES1,TOS       \ high result in TOS
-MOV @IP+,PC
+\ https://forth-standard.org/standard/core/UMTimes
+\ UM*     u1 u2 -- udlo udhi   unsigned 16x16->32 mult.
+CODE UM*
+    MOV @PSP,&MPY       \ Load 1st operand for unsigned multiplication
+BW1 MOV TOS,&OP2        \ Load 2nd operand
+    MOV &RES0,0(PSP)    \ low result on stack
+    MOV &RES1,TOS       \ high result in TOS
+    MOV @IP+,PC
 ENDCODE
     \
 
-CODE M*         \ n1 n2 -- dlo dhi      signed 16*16->32 multiply
-MOV @PSP,&MPYS
-MOV TOS,&OP2
-MOV &RES0,0(PSP)
-MOV &RES1,TOS
-MOV @IP+,PC
+\ https://forth-standard.org/standard/core/MTimes
+\ M*     n1 n2 -- dlo dhi  signed 16*16->32 multiply
+CODE M*
+    MOV @PSP,&MPYS      \ Load 1st operand for signed multiplication
+    GOTO BW1
 ENDCODE
     \
-
 [THEN]
     \
-\ \ TOS = DIVlo
-\ \ S   = DVDlo
-\ \ W   = DVDhi / REMlo 
-\ \ X   = count
-\ \ Y   = QUOTlo
-\ \ DVDhi|DVDlo : DIVlo -> QUOTlo, REMlo
-\ 
-\ \ C UM/MOD   udlo|udhi u1 -- ur uq
-\ CODE UM/MOD
-\     MOV @PSP+,W     
-\     MOV @PSP,S      
-\     MOV #16,X       
-\ BEGIN
-\     ADD S,S         
-\     ADDC W,W        
-\     CMP TOS,W       
-\     U>= IF          
-\         SUB TOS,W   
-\     THEN            
-\     ADDC Y,Y        
-\     SUB #1,X        
-\ 0= UNTIL            
-\     MOV W,0(PSP)    
-\     MOV Y,TOS       
-\     MOV @IP+,PC     
-\ ENDCODE
-\     \
-
-\ C UM/MOD   udlo|udhi u1 -- ur uq
+
+\ https://forth-standard.org/standard/core/UMDivMOD
+\ UM/MOD   udlo|udhi u1 -- r q   unsigned 32/16->16
 CODE UM/MOD
-    MOV @PSP+,W     \ 2 W = DIVIDENDhi
-    MOV @PSP,S      \ 2 S = DIVIDENDlo
-    MOV #16,X       \ 2 INITIALIZE LOOP COUNTER
-BW1 CMP TOS,W       \ 1 DVDhi - DIVlo
-    U>= IF          \ 2
-        SUB TOS,W   \ 1 if carry
-    THEN            \
-BW2 ADDC Y,Y        \ 1 RLC quotient
-    SUB #1,X        \ 1 Decrement loop counter
-    0< ?GOTO FW1    \ 2 if 0< terminate
-    ADD S,S         \ 1 RLA DVDlo
-    ADDC W,W        \ 1 RLC DVDhi
-    U< ?GOTO BW1    \ 2 if not carry    14~ loop
-    SUB TOS,W       \ 1 DVDhi - DIVlo
-    BIS #1,SR       \ 1 SETC
-    GOTO BW2        \ 2                 14~ loop
-FW1 MOV W,0(PSP)    \ 3 remainder on stack
-    MOV Y,TOS       \ 1 quotient in TOS
-    MOV @IP+,PC     \ 4
-ENDCODE
-    \
-
-CODE SM/REM         \ d1lo d1hi n2 -- n3 n4  symmetric signed div
+    CALL #MU/MOD  \ -- REMlo QUOTlo QUOThi
+    MOV @PSP+,TOS
+    MOV @IP+,PC
+ENDCODE
+    \
+
+\ https://forth-standard.org/standard/core/SMDivREM
+\ SM/REM   d1lo d1hi n2 -- r3 q4  symmetric signed div
+CODE SM/REM
 MOV TOS,S           \           S=divisor
-MOV @PSP,T          \           T=dividend_sign=rem_sign
+MOV @PSP,T          \           T=dividend_sign==>rem_sign
 CMP #0,TOS          \           n2 >= 0 ?
 S< IF               \
     XOR #-1,TOS
@@ -214,12 +193,11 @@ S< IF               \
     XOR #-1,0(PSP)  \           d1hi
     ADD #1,2(PSP)   \           d1lo+1
     ADDC #0,0(PSP)  \           d1hi+C
-THEN                \ -- ud1 u2
-PUSHM IP,S          \  
-LO2HI               \
-UM/MOD              \           UM/MOD use S,W,X,Y, not T
-HI2LO               \ -- u3 u4
-POPM S,IP           \  
+THEN                \ -- uDVDlo uDVDhi uDIVlo
+PUSHM S,T           \
+CALL #MU/MOD        \ -- uREMlo uQUOTlo uQUOThi
+MOV @PSP+,TOS       \ -- uREMlo uQUOTlo
+POPM T,S            \
 CMP #0,T            \           T=rem_sign
 S< IF
     XOR #-1,0(PSP)
@@ -235,7 +213,9 @@ MOV @IP+,PC
 ENDCODE
     \
 
-: FM/MOD            \ d1 n2 -- n3 n4   floored signed div
+\ https://forth-standard.org/standard/core/FMDivMOD
+\ FM/MOD   d1 n1 -- r q   floored signed div'n
+: FM/MOD
 SM/REM
 HI2LO               \ -- remainder quotient       S=divisor
 CMP #0,0(PSP)       \ remainder <> 0 ?
@@ -251,32 +231,44 @@ MOV @IP+,PC
 ENDCODE
     \
 
-: *         \ n1 n2 -- n3           n1*n2 --> n3
+\ https://forth-standard.org/standard/core/Times
+\ *      n1 n2 -- n3       signed multiply
+: *
 M* DROP
 ;
     \
 
-: /MOD      \ n1 n2 -- n3 n4        n1/n2 --> rem quot
+\ https://forth-standard.org/standard/core/DivMOD
+\ /MOD   n1 n2 -- r3 q4     signed division
+: /MOD
 >R DUP 0< R> FM/MOD
 ;
     \
 
-: /         \ n1 n2 -- n3           n1/n2 --> quot
+\ https://forth-standard.org/standard/core/Div
+\ /      n1 n2 -- n3       signed quotient
+: /
 >R DUP 0< R> FM/MOD NIP
 ;
     \
 
-: MOD       \ n1 n2 -- n3           n1/n2 --> rem
+\ https://forth-standard.org/standard/core/MOD
+\ MOD    n1 n2 -- n3       signed remainder
+: MOD
 >R DUP 0< R> FM/MOD DROP
 ;
     \
 
-: */MOD     \ n1 n2 n3 -- n4 n5     n1*n2/n3 --> rem quot
+\ https://forth-standard.org/standard/core/TimesDivMOD
+\ */MOD  n1 n2 n3 -- r4 q5    signed mult/div
+: */MOD
 >R M* R> FM/MOD
 ;
     \
 
-: */        \ n1 n2 n3 -- n4        n1*n2/n3 --> quot
+\ https://forth-standard.org/standard/core/TimesDiv
+\ */     n1 n2 n3 -- n4        n1*n2/q3
+: */
 >R M* R> FM/MOD NIP
 ;
     \
@@ -285,59 +277,73 @@ M* DROP
 \ DOUBLE OPERATORS
 \ ----------------------------------------------------------------------
 
-: S>D           \ n -- d      single -> double
+\ https://forth-standard.org/standard/core/StoD
+\ S>D    n -- d          single -> double prec.
+: S>D
     DUP 0<
 ;
     \
 
-CODE 2@        \ a-addr -- x1 x2    fetch 2 cells \ the lower address will appear on top of stack
-SUB     #2, PSP
-MOV     2(TOS),0(PSP)
-MOV     @TOS,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/TwoFetch
+\ 2@    a-addr -- x1 x2    fetch 2 cells ; the lower address will appear on top of stack
+CODE 2@
+SUB #2, PSP
+MOV 2(TOS),0(PSP)
+MOV @TOS,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE 2!         \ x1 x2 a-addr --    store 2 cells \ the top of stack is stored at the lower adr
-MOV     @PSP+,0(TOS)
-MOV     @PSP+,2(TOS)
-MOV     @PSP+,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/TwoStore
+\ 2!    x1 x2 a-addr --    store 2 cells ; the top of stack is stored at the lower adr
+CODE 2!
+MOV @PSP+,0(TOS)
+MOV @PSP+,2(TOS)
+MOV @PSP+,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE 2DUP       \ x1 x2 -- x1 x2 x1 x2   dup top 2 cells
-SUB     #4,PSP          \ -- x1 x x x2
-MOV     TOS,2(PSP)      \ -- x1 x2 x x2
-MOV     4(PSP),0(PSP)   \ -- x1 x2 x1 x2
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/TwoDUP
+\ 2DUP   x1 x2 -- x1 x2 x1 x2   dup top 2 cells
+CODE 2DUP
+SUB #4,PSP          \ -- x1 x x x2
+MOV TOS,2(PSP)      \ -- x1 x2 x x2
+MOV 4(PSP),0(PSP)   \ -- x1 x2 x1 x2
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE 2DROP      \ x1 x2 --      drop 2 cells
-ADD     #2,PSP
-MOV     @PSP+,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/TwoDROP
+\ 2DROP  x1 x2 --          drop 2 cells
+CODE 2DROP
+ADD #2,PSP
+MOV @PSP+,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE 2SWAP      \ x1 x2 x3 x4 -- x3 x4 x1 x2
-MOV     @PSP,W          \ -- x1 x2 x3 x4    W=x3
-MOV     4(PSP),0(PSP)   \ -- x1 x2 x1 x4
-MOV     W,4(PSP)        \ -- x3 x2 x1 x4
-MOV     TOS,W           \ -- x3 x2 x1 x4    W=x4
-MOV     2(PSP),TOS      \ -- x3 x2 x1 x2    W=x4
-MOV     W,2(PSP)        \ -- x3 x4 x1 x2
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/TwoSWAP
+\ 2SWAP  x1 x2 x3 x4 -- x3 x4 x1 x2
+CODE 2SWAP
+MOV @PSP,W          \ -- x1 x2 x3 x4    W=x3
+MOV 4(PSP),0(PSP)   \ -- x1 x2 x1 x4
+MOV W,4(PSP)        \ -- x3 x2 x1 x4
+MOV TOS,W           \ -- x3 x2 x1 x4    W=x4
+MOV 2(PSP),TOS      \ -- x3 x2 x1 x2    W=x4
+MOV W,2(PSP)        \ -- x3 x4 x1 x2
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE 2OVER      \ x1 x2 x3 x4 -- x1 x2 x3 x4 x1 x2
-SUB     #4,PSP          \ -- x1 x2 x3 x x x4
-MOV     TOS,2(PSP)      \ -- x1 x2 x3 x4 x x4
-MOV     8(PSP),0(PSP)   \ -- x1 x2 x3 x4 x1 x4
-MOV     6(PSP),TOS      \ -- x1 x2 x3 x4 x1 x2
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/TwoOVER
+\ 2OVER  x1 x2 x3 x4 -- x1 x2 x3 x4 x1 x2
+CODE 2OVER
+SUB #4,PSP          \ -- x1 x2 x3 x x x4
+MOV TOS,2(PSP)      \ -- x1 x2 x3 x4 x x4
+MOV 8(PSP),0(PSP)   \ -- x1 x2 x3 x4 x1 x4
+MOV 6(PSP),TOS      \ -- x1 x2 x3 x4 x1 x2
+MOV @IP+,PC
 ENDCODE
     \
 
@@ -346,17 +352,21 @@ ENDCODE
 \ ALIGNMENT OPERATORS
 \ ----------------------------------------------------------------------
 
-CODE ALIGNED    \ addr -- a-addr       align given addr
-BIT     #1,TOS
-ADDC    #0,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/ALIGNED
+\ ALIGNED  addr -- a-addr       align given addr
+CODE ALIGNED
+BIT #1,TOS
+ADDC #0,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE ALIGN      \ --                         align HERE
-BIT     #1,&DP  \ 3
-ADDC    #0,&DP  \ 4
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/ALIGN
+\ ALIGN    --                         align HERE
+CODE ALIGN
+BIT #1,&DP  \ 3
+ADDC #0,&DP \ 4
+MOV @IP+,PC
 ENDCODE
     \
 
@@ -364,43 +374,57 @@ ENDCODE
 \ PORTABILITY OPERATORS
 \ ---------------------
 
-CODE CHARS      \ n1 -- n2            chars->adrs units
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/CHARS
+\ CHARS    n1 -- n2            chars->adrs units
+CODE CHARS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE CHAR+      \ c-addr1 -- c-addr2   add char size
-ADD     #1,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/CHARPlus
+\ CHAR+    c-addr1 -- c-addr2   add char size
+CODE CHAR+
+ADD #1,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE CELLS      \ n1 -- n2            cells->adrs units
-ADD     TOS,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/CELLS
+\ CELLS    n1 -- n2            cells->adrs units
+CODE CELLS
+ADD TOS,TOS
+MOV @IP+,PC
 ENDCODE
     \
 
-CODE CELL+      \ a-addr1 -- a-addr2      add cell size
-ADD     #2,TOS
-MOV     @IP+,PC
+\ https://forth-standard.org/standard/core/CELLPlus
+\ CELL+    a-addr1 -- a-addr2      add cell size
+CODE CELL+
+ADD #2,TOS
+MOV @IP+,PC
 ENDCODE
     \
 \ ---------------------------
 \ BLOCK AND STRING COMPLEMENT
 \ ---------------------------
 
-: CHAR      \ -- char       parse ASCII character
+\ https://forth-standard.org/standard/core/CHAR
+\ CHAR   -- char           parse ASCII character
+: CHAR
     BL WORD 1+ C@
 ;
 
-: [CHAR]    \ --            compile character literal
+\ https://forth-standard.org/standard/core/BracketCHAR
+\ [CHAR]   --          compile character literal
+: [CHAR]
     CHAR lit lit , ,
 ; IMMEDIATE
 
     \
 
-CODE +!         \ n/u a-addr --     add to memory
+\ https://forth-standard.org/standard/core/PlusStore
+\ +!     n/u a-addr --       add n/u to memory
+CODE +!
 ADD @PSP+,0(TOS)
 MOV @PSP+,TOS
 MOV @IP+,PC
@@ -408,7 +432,9 @@ ENDCODE
     \ 
 
 
-CODE FILL       \ c-addr u char --  fill memory with char
+\ https://forth-standard.org/standard/core/FILL
+\ FILL   c-addr u char --  fill memory with char
+CODE FILL
 MOV @PSP+,X     \ count
 MOV @PSP+,W     \ address
 CMP #0,X
@@ -428,31 +454,52 @@ ENDCODE
 \ INTERPRET COMPLEMENT
 \ --------------------
 
+\ https://forth-standard.org/standard/core/HEX
 CODE HEX
-MOV     #$10,&BASE
-MOV     @IP+,PC
+MOV #$10,&BASE
+MOV @IP+,PC
 ENDCODE
     \
 
+\ https://forth-standard.org/standard/core/DECIMAL
 CODE DECIMAL
-MOV     #$0A,&BASE
-MOV     @IP+,PC
+MOV #$0A,&BASE
+MOV @IP+,PC
 ENDCODE
     \
-: (                 \
+
+\ https://forth-standard.org/standard/core/p
+\ (         --          skip input until char ) or EOL
+: ( 
 $29 WORD DROP
 ; IMMEDIATE
     \
 
-: .(             \  --     dotparen \ type comment immediatly.
-\ CAPS_OFF        \  --     set CAPS_OFF  (recompile FORTH with LOWERCASE swith ON before, must be paired with set CAP_ON)
+[DEFINED] CAPS_ON [IF]
+    \
+\ https://forth-standard.org/standard/core/Dotp
+\ .(        --          type comment immediatly.
+: .(
+CAPS_OFF
+$29 WORD
+COUNT TYPE
+CAPS_ON
+; IMMEDIATE
+    \
+[ELSE]
+\ https://forth-standard.org/standard/core/Dotp
+\ .(        --          type comment immediatly.
+: .(
 $29 WORD
 COUNT TYPE
-\ CAPS_ON               \  --     set CAPS_OFF  (recompile FORTH with LOWERCASE swith ON before, must be paired with set CAP_ON)
 ; IMMEDIATE
     \
+[THEN]
+    \
 
-CODE SOURCE         \ -- adr u    current input buffer
+\ https://forth-standard.org/standard/core/SOURCE
+\ SOURCE    -- adr u    of current input buffer
+CODE SOURCE
 SUB #4,PSP
 MOV TOS,2(PSP)
 MOV &SOURCE_LEN,TOS
@@ -461,6 +508,8 @@ MOV @IP+,PC
 ENDCODE
     \
 
+\ https://forth-standard.org/standard/core/toBODY
+\ >BODY     -- PFA      leave PFA of created word
 CODE >BODY
 ADD #4,TOS
 MOV @IP+,PC
@@ -471,8 +520,8 @@ ENDCODE
 (                       ARITHMETIC: UM* M* UM/MOD SM/REM FM/MOD * /MOD / MOD */MOD */
 (                       DOUBLE: S>D 2@ 2! 2DUP 2DROP 2SWAP 2OVER
 (                       ALIGMENT: ALIGNED ALIGN
-(                       PORTABIITY: CHARS CHAR+ CELLS CELL+) 
-
+(                       PORTABIITY: CHARS CHAR+ CELLS CELL+ 
+    \
 [THEN]
     \
 PWR_HERE
index 33736fa..c846d7c 100644 (file)
@@ -7,8 +7,8 @@
 
 
 
-\ SYSRSTIV decimal values for MSP430FR5994
-\ ----------------------------------------
+\ SYSRSTIV decimal values for MSP430FR5994 (device specific)
+\ ----------------------------------------------------------
 \ 00 No interrupt pending                                      
 \ 02 Brownout (BOR)                                            
 \ 04 RSTIFG RST/NMI (BOR)                                      
 \ 44 MPUSEG2IFG segment 2 memory violation (PUC)               
 \ 46 MPUSEG3IFG segment 3 memory violation (PUC)   
 
-\ values added by FAST FORTH 
-\ --------------------------
+
+
+
+
+\ SYSRSTIV values added by FAST FORTH 
+\ -----------------------------------
 \ 05 reset after compilation of FAST FORTH kernel
-\ -1 hardware DEEP RESET    
+\ -1 hardware DEEP RESET: restores state of the lastest FastForth flashed   
              
-; ================
-; BOOTSTRAP
-; ================
-     
-\ Causes of reset (device specific) are kept in SYSRSTIV register.
+
+\ note
+\ Origin of reset is kept in SYSRSTIV register. Their values are device specific.
 \ WARM displays the content of SYSRSTIV register.
-\ When BOOT.4TH is called by the FastForth bootstrap, this SYSRSTIV value is on
-\ the paramater stack, ready to test:
+\ When BOOT.4TH is called by the FastForth bootstrap, the SYSRSTIV value is on
+\ the paramater stack, ready to test
 
-$05 =  [IF]         ; if new kernel
+\ --------------------------------------------------------------------------------
+\ WARNING !
+\ --------------------------------------------------------------------------------
+\ it is not recommended to compile then execute a word to perform the bootstrap 
+\ because the risk of crushing thereafter. Interpreting mode as below is required: 
+\ --------------------------------------------------------------------------------
+
+
+\ it's an example:
+
+DUP $06 =  [IF]     \ origin of reset = COLD
 LOAD" SD_TEST.4TH"
 [THEN]
-ECHO                ; in all case, don't forget to set ECHO !
+$02 = [IF]          \ origin of reset = power ON
+LOAD" RTC.4TH" NOECHO
+[THEN]
+ECHO                \ in all case, don't forget to set ECHO! (cf. QUIETMODE in forthMSP430FR.asm)
index b71a76a..e48bb97 100644 (file)
@@ -1,6 +1,8 @@
 
 ECHO 
 
+[DEFINED] {ANS_COMP} [IF]
+
 ; ===============================================================
 ;
 ;  #####  ####### ######  ####### ####### #######  #####  ####### 
@@ -1071,14 +1073,23 @@ TESTING INPUT: ACCEPT
 
 CREATE ABUF 80 CHARS ALLOT
 
+[DEFINED] LOAD" [IF]
+: ACCEPT-TEST
+    CR ." PLEASE TYPE UP TO 80 CHARACTERS:" CR
+    ABUF 80 (ACCEPT)                \ JMT: because ACCEPT is DEFERred to SD_ACCEPT
+    CR ." RECEIVED: " [CHAR] " EMIT
+    ABUF SWAP TYPE [CHAR] " EMIT CR
+;
+[ELSE]
 : ACCEPT-TEST
     CR ." PLEASE TYPE UP TO 80 CHARACTERS:" CR
-\    ABUF 80 ACCEPT
-    ABUF 80 (ACCEPT) \ JMT: because ACCEPT is DEFERred to SD_ACCEPT
+    ABUF 80 ACCEPT
     CR ." RECEIVED: " [CHAR] " EMIT
     ABUF SWAP TYPE [CHAR] " EMIT CR
 ;
 
+[THEN]      \ LOAD"
+
 T{ ACCEPT-TEST -> }T
 \ ------------------------------------------------------------------------
 TESTING DICTIONARY SEARCH RULES
@@ -1089,6 +1100,8 @@ T{ GDX -> 123 234 }T
 
 CR .( End of Core word set tests) CR
 
+
+[DEFINED] COMPARE [IF]
 \ ------------------------------------------------------------------------
 TESTING COMPARE
 : CMOVE MOVE ;
@@ -1117,8 +1130,13 @@ T{ s1 "abcdee" COMPARE ->  1 }T
 : s11 S" 0abc" ; 
 : s12 S" 0aBc" ;
 
-\ you must set compilation switch "LOWERCASE" ON to pass these tests below
+[DEFINED] CAPS_ON [IF]  \ you must set ON compilation switch "LOWERCASE" to pass these tests
 T{ s11 s12 COMPARE ->  1 }T 
 T{ s12 s11 COMPARE -> -1 }T
+[THEN]      \ CAPS_ON
+
+[THEN]      \ COMPARE
+
+$0A BASE !  \ happy end of core test
 
-$0A BASE !     ; happy end of core test
+[THEN]      \ ANS_COMP
diff --git a/MSP430_FORTH/FixPoint.f b/MSP430_FORTH/FixPoint.f
new file mode 100644 (file)
index 0000000..6025af7
--- /dev/null
@@ -0,0 +1,296 @@
+\ TARGET SELECTION
+\ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
+\ MSP_EXP430FR4133  CHIPSTICK_FR2433
+\ MY_MSP430FR5738_1 MY_MSP430FR5738     MY_MSP430FR5948     MY_MSP430FR5948_1   
+\ JMJ_BOX
+
+
+
+PWR_STATE
+    \
+[DEFINED] {FIXPOINT} [IF] {FIXPOINT} [THEN]     \ remove {FIXPOINT} if outside core 
+    \
+[DEFINED] ASM [UNDEFINED] {FIXPOINT} AND [IF]   \ assembler required, don't replicate {FIXPOINT} inside core
+    \
+MARKER {FIXPOINT}
+    \
+\ https://forth-standard.org/standard/core/HOLDS
+\ Adds the string represented by addr u to the pictured numeric output string
+\ compilation use: <# S" string" HOLDS #>
+\ free chars area in the 32+2 bytes HOLD buffer = {26,23,2} chars with a 32 bits sized {hexa,decimal,binary} number.
+\ (2 supplementary bytes are room for sign - and decimal point)
+\ C HOLDS    addr u --
+CODE HOLDS
+            MOV @PSP+,X     \ 2
+            ADD TOS,X       \ 1 src
+            MOV &HP,Y       \ 3 dst
+BEGIN       SUB #1,X        \ 1 src-1
+            SUB #1,TOS      \ 1 cnt-1
+U>= WHILE   SUB #1,Y        \ 1 dst-1
+            MOV.B @X,0(Y)   \ 4
+REPEAT      MOV Y,&HP       \ 3
+            MOV @PSP+,TOS   \ 2
+            MOV @IP+,PC     \ 4  15 words
+ENDCODE
+    \
+CODE F+                 \ add s15q16 numbers
+    ADD @PSP+,2(PSP)    \ -- sumlo  d1hi d2hi
+    ADDC @PSP+,TOS      \ -- sumlo sumhi
+    MOV @IP+,PC
+ENDCODE
+    \
+CODE F-                 \ substract s15q16 numbers
+    SUB @PSP+,2(PSP)    \ -- diflo d1hi d2hi
+    SUBC TOS,0(PSP)     \ -- diflo difhi d2hi
+    MOV @PSP+,TOS
+    MOV @IP+,PC
+ENDCODE
+    \
+CODE F/                     \ s15q16 / s15q16 --> s15q16 result
+        MOV 2(PSP),S        \
+        XOR TOS,S           \ MDhi XOR MRhi --> S keep sign of result
+        MOV #0,T            \ DVDlo = 0
+        MOV 4(PSP),Y        \ DVDlo --> DVDhi
+        MOV 2(PSP),X        \ DVDhi --> REMlo
+        BIT #8000,X         \ MD < 0 ? 
+0<> IF  XOR #-1,Y           \ lo
+        XOR #-1,X           \ hi
+        ADD #1,Y            \ lo
+        ADDC #0,X           \ hi
+THEN    BIT #8000,TOS
+0<> IF  XOR #-1,0(PSP)
+        XOR #-1,TOS
+        ADD #1,0(PSP)
+        ADDC #0,TOS
+THEN
+\ don't uncomment lines below !
+\ ------------------------------------------------------------------------
+\           UD/MOD    DVDlo DVDhi DVRlo DVRhi -- REMlo REMhi QUOTlo QUOThi
+\ ------------------------------------------------------------------------
+\           MOV 4(PSP),T    \ DVDlo
+\           MOV 2(PSP),Y    \ DVDhi
+\           MOV #0,X        \ REMlo = 0
+            PUSHM R7,R4
+            MOV #0,W        \  REMhi = 0
+            MOV @PSP,R6     \  DIVlo
+            MOV #32,R5      \  init loop count
+BW1         CMP TOS,W       \ 1 REMhi = DIVhi ?
+    0= IF   CMP R6,X        \ 1 REMlo U< DIVlo ?
+    THEN
+    U>= IF  SUB R6,X        \ 1 no:  REMlo - DIVlo  (carry is set)
+            SUBC TOS,W      \ 1      REMhi - DIVhi
+    THEN
+BW2         ADDC R7,R7      \ 1 RLC quotLO
+            ADDC R4,R4      \ 1 RLC quotHI
+            SUB #1,R5       \ 1 Decrement loop counter
+            0< ?GOTO FW1    \ 2 out of loop if count<0    
+            ADD T,T         \ 1 RLA DVDlo
+            ADDC Y,Y        \ 1 RLC DVDhi
+            ADDC X,X        \ 1 RLC REMlo
+            ADDC W,W        \ 1 RLC REMhi
+            U< ?GOTO BW1    \ 2 15~ loop 
+            SUB R6,X        \ 1 REMlo - DIVlo
+            SUBC TOS,W      \ 1 REMhi - DIVhi
+            BIS #1,SR       \ 1
+            GOTO BW2        \ 2 16~ loop
+FW1
+\           MOV X,4(PSP)    \ REMlo    
+\           MOV W,2(PSP)    \ REMhi
+            ADD #4,PSP      \ skip REMlo REMhi
+
+            MOV R7,0(PSP)   \ QUOTlo
+            MOV R4,TOS      \ QUOThi
+            POPM R4,R7      \ restore R7 to R4
+\           MOV @IP+,PC     \ end of UD/MOD
+\ ------------------------------------------------------------------------
+BW1     AND #-1,S           \ clear V, set N
+S< IF   XOR #-1,0(PSP)
+        XOR #-1,TOS
+        ADD #1,0(PSP)
+        ADDC #0,TOS
+THEN    MOV @IP+,PC
+ENDCODE
+    \
+$1A04 C@ $EF > [IF] ; test tag value MSP430FR413x subfamily without hardware_MPY 
+    \
+\ F#S    Shi Flo -- Shi 0   convert fractional part Flo of S15Q16 fixed point number
+CODE F#S 
+            SUB #2,PSP              \ -- Shi x Flo
+            MOV TOS,0(PSP)          \ -- Shi Flo x
+            MOV #4,TOS              \ -- Shi Flo x      TOS = limit for base 16
+            CMP #10,&BASE
+0= IF       ADD #1,TOS              \                   TOS = limit for base 10
+THEN        PUSHM TOS,IP            \
+            MOV #0,S                \ -- Shi Flo x
+BEGIN       PUSH S                  \                   R-- limit IP count
+            MOV &BASE,TOS           \ -- Shi Flo base
+            LO2HI
+            UM*                     \                   u1 u2 -- RESlo REShi
+            HI2LO                   \ -- Shi RESlo digit
+            SUB #2,IP
+            CMP #10,TOS             \                   digit to char
+    U>= IF  ADD #7,TOS
+    THEN    ADD #$30,TOS
+            MOV @RSP+,S             \                       R-- limit IP
+            MOV.B TOS,HOLDS_ORG(S)  \ -- Shi RESlo char     char to string
+            ADD #1,S                \                       count+1
+            CMP 2(RSP),S            \                       count=limit ?
+U>= UNTIL   POPM IP,TOS             \
+            MOV #0,0(PSP)           \ -- Shi 0 len
+            SUB #2,PSP              \ -- Shi 0 x len
+            MOV #HOLDS_ORG,0(PSP)   \ -- Shi 0 addr len
+            JMP HOLDS
+ENDCODE
+    \
+\ unsigned multiply 32*32 = 64
+\ don't use S reg (keep sign)
+CODE UDM*
+            PUSH IP         \ 3
+            PUSHM R7,R4     \ 6 save R7 ~ R4 regs
+            MOV 4(PSP),IP   \ 3 MDlo
+            MOV 2(PSP),T    \ 3 MDhi
+            MOV @PSP,W      \ 2 MRlo
+            MOV #0,R4       \ 1 MDLO=0
+            MOV #0,R5       \ 1 MDHI=0
+            MOV #0,4(PSP)   \ 3 RESlo=0
+            MOV #0,2(PSP)   \ 3 REShi=0
+            MOV #0,R6       \ 1 RESLO=0
+            MOV #0,R7       \ 1 RESHI=0
+            MOV #1,X        \ 1 BIT TEST REGlo
+            MOV #0,Y        \ 1 BIT TEST2 REGhi
+BEGIN       CMP #0,X    
+    0<> IF  BIT X,W         \ 1 TEST ACTUAL BIT MRlo
+    ELSE    BIT Y,TOS       \ 1 TEST ACTUAL BIT MRhi
+    THEN
+    0<> IF  ADD IP,4(PSP)   \ 3 IF 1: ADD MDlo TO RESlo
+            ADDC T,2(PSP)   \ 3      ADDC MDhi TO REShi
+            ADDC R4,R6      \ 1      ADDC MDLO TO RESLO        
+            ADDC R5,R7      \ 1      ADDC MDHI TO RESHI
+    THEN    ADD IP,IP       \ 1 (RLA LSBs) MDlo *2
+            ADDC T,T        \ 1 (RLC MSBs) MDhi *2
+            ADDC R4,R4      \ 1 (RLA LSBs) MDLO *2
+            ADDC R5,R5      \ 1 (RLC MSBs) MDHI *2
+            ADD X,X         \ 1 (RLA) NEXT BIT TO TEST
+            ADDC Y,Y        \ 1 (RLA) NEXT BIT TO TEST
+U>= UNTIL   MOV R6,0(PSP)   \ 2 IF BIT IN CARRY: FINISHED    32 * 16~ (average loop)
+            MOV R7,TOS      \ 1 high result in TOS
+            POPM R4,R7      \ 6 restore R4 ~ R7 regs
+            MOV @RSP+,IP    \ 2
+            MOV @IP+,PC
+ENDCODE
+    \
+CODE F*                 \ s15.16 * s15.16 --> s15.16 result
+    MOV 2(PSP),S        \
+    XOR TOS,S           \ 1s15 XOR 2s15 --> S keep sign of result
+    BIT #8000,2(PSP)    \ MD < 0 ? 
+0<> IF  XOR #-1,2(PSP)
+        XOR #-1,4(PSP)
+        ADD #1,4(PSP)
+        ADDC #0,2(PSP)
+THEN
+    COLON
+    DABS UDM*           \ -- RES0 RES1 RES2 RES3
+    HI2LO
+    MOV @RSP+,IP
+    MOV @PSP+,TOS       \ -- RES0 RES1 RES2
+    MOV @PSP+,0(PSP)    \ -- RES1 RES2
+    GOTO BW1            \ goto end of F/ to process sign of result
+ENDCODE
+    \
+[ELSE]                  \ hardware multiplier
+    \
+\ F#S    Shi Flo -- Shi 0   convert fractionnal part of S15Q16 fixed point number (direct order)
+CODE F#S
+            SUB #2,PSP              \ -- Shi x Flo
+            MOV TOS,0(PSP)          \ -- Shi Flo x
+            MOV #4,T                \ -- Shi Flo x      T = limit for base 16
+            CMP #10,&BASE
+0= IF       ADD #1,T                \                   T = limit for base 10
+THEN        MOV #0,S                \                   S = count
+BEGIN       MOV @PSP,&MPY           \                   Load 1st operand
+            MOV &BASE,&OP2          \                   Load 2nd operand
+            MOV &RES0,0(PSP)        \ -- Shi RESlo x        low result on stack
+            MOV &RES1,TOS           \ -- Shi RESlo REShi    high result in TOS
+            CMP #10,TOS             \                   digit to char
+    U>= IF  ADD #7,TOS
+    THEN    ADD #$30,TOS
+            MOV.B TOS,HOLDS_ORG(S)  \ -- Shi RESlo char     char to string
+            ADD #1,S                \                   count+1
+            CMP T,S                 \                   count=limit ?
+U>= UNTIL   MOV T,TOS               \ -- Shi RESlo limit
+            MOV #0,0(PSP)           \ -- Shi 0 limit
+            SUB #2,PSP              \ -- Shi 0 x len
+            MOV #HOLDS_ORG,0(PSP)   \ -- Shi 0 addr len
+            JMP HOLDS
+ENDCODE
+    \
+CODE F*                 \ signed s15.16 multiplication --> s15.16 result
+    MOV 4(PSP),&MPYS32L \ 5 Load 1st operand
+    MOV 2(PSP),&MPYS32H \ 5
+    MOV @PSP,&OP2L      \ 4 load 2nd operand
+    MOV TOS,&OP2H       \ 3
+    ADD #4,PSP          \ 1 remove 2 cells
+    NOP2                \ 2
+    NOP2                \ 2 wait 8 cycles after write OP2L before reading RES1
+    MOV &RES1,0(PSP)    \ 5
+    MOV &RES2,TOS       \ 5
+    MOV @IP+,PC
+ENDCODE
+    \
+[THEN]  \ hardware multiplier
+    \
+: F.                \ display a s15q16 number
+    <# DUP >R DABS  \ -- udlo udhi          R-- sign
+    SWAP            \ -- sign udhi udlo
+    F#S             \ -- sign udhi 0
+    $2C HOLD #S     \ -- sign 0 0
+    R> SIGN #>      \ -- addr len           R-- 
+    TYPE SPACE      \ --         
+    EXIT
+;
+    \
+CODE S>F         \ convert a signed number to a s15q16 (signed) number
+    SUB #2,PSP
+    MOV #0,0(PSP)
+    MOV @IP+,PC
+ENDCODE
+    \
+CODE D>F         \ convert a signed double number (-.32768|.32767) to a s15q16 (signed) number
+    MOV @PSP,TOS
+    MOV #0,0(PSP)
+    MOV @IP+,PC
+ENDCODE
+    \
+
+\ https://forth-standard.org/standard/double/TwoCONSTANT
+: 2CONSTANT \  udlo/dlo/Flo udhi/dhi/Shi --         to create double or s15q16 CONSTANT
+CREATE
+SWAP , ,            \ compile Flo then Shi
+DOES>
+HI2LO
+MOV @RSP+,IP
+SUB #2,PSP          \ -- x PFA
+MOV @TOS+,0(PSP)    \ -- lo PFA+2
+MOV @TOS,TOS        \ -- lo hi
+MOV @IP+,PC
+ENDCODE
+    \
+[THEN]
+    \
+ECHO
+PWR_HERE
+    \
+; -----------------------
+; (volatile) tests
+; -----------------------
+3,14159 2CONSTANT PI
+PI -1,0 F* 2CONSTANT -PI
+    \
+PI 2,0 F/ F.  
+PI 2,0 F* F.  
+PI -2,0 F/ F.
+PI -2,0 F* F.
+-PI 2,0 F/ F.
+-PI 2,0 F* F.
+-PI -2,0 F/ F.
+-PI -2,0 F* F.
index 744e2f8..0223fe4 100644 (file)
 ! P3.6/TB0.5                          
 ! P3.5/TB0.4/COUT                     
 ! P3.4/TB0.3/SMCLK
-! P7.3/UCB2STE/TA4.1       RTS ----> CTS  UARTtoUSB bridge (optional hardware control flow)
+! P7.3/UCB2STE/TA4.1
 ! P2.6/TB0.1/UCA1RXD/UCA1SOMI 
 ! P2.5/TB0.0/UCA1TXD/UCA1SIMO 
 ! P4.3/A11
-! P4.2/A10
-! P4.1/A9
+! P4.2/A10       RTS ----> CTS  UARTtoUSB bridge (optional hardware control flow)
+! P4.1/A9        CTS <---- RTS  UARTtoUSB bridge (optional hardware control flow)
 
 ! J2 - right ext.
 ! GND
index 115d0dd..ced4dda 100644 (file)
@@ -1,6 +1,6 @@
-; -----------------------------------
-; prog100k.4th, to test speed of downloading
-; -----------------------------------
+; --------------------------------------------------------------
+; prog100k.4th, compile 100 kbytes, to test speed of compilation
+; --------------------------------------------------------------
 \ TARGET SELECTION
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
 \ MSP_EXP430FR4133  CHIPSTICK_FR2433
@@ -8,12 +8,6 @@
 \ MY_MSP430FR5738_1 MY_MSP430FR5738     MY_MSP430FR5948     MY_MSP430FR5948_1   
 \ JMJ_BOX
 
-
-    \
-PWR_STATE
-
-[DEFINED] ASM [IF]
-    \
 \ Copyright (C) <2016>  <J.M. THOORENS>
 \
 \ This program is free software: you can redistribute it and/or modify
@@ -94,6 +88,10 @@ PWR_STATE
 \ rc5   <--- OUT IR_Receiver (1 TSOP32236)
 
 
+PWR_STATE
+    \
+[DEFINED] ASM [IF]
+    \
 CODE MAX    \    n1 n2 -- n3       signed maximum
             CMP     @PSP,TOS    \ n2-n1
             S<      ?GOTO FW1   \ n2<n1
@@ -45959,6 +45957,6 @@ LO2HI                           \ no need to push IP because (WARM) resets the R
     ECHO COLD           \ reset CPU, interrupt vectors, and start FORTH
 ;
     \
-
+[THEN]  \ ASM
+    \
 ECHO
-            ; download is done
index d615e78..2e0430f 100644 (file)
@@ -88,9 +88,9 @@
 
 \ rc5   <--- OUT IR_Receiver (1 TSOP32236)
 
+[DEFINED] {RC5TOLCD} [IF] {RC5TOLCD} [THEN]     \ remove application
 
-
-[DEFINED] ASM [UNDEFINED] {RC5TOLCD} AND [IF]
+[DEFINED] ASM [IF]      \ security test
     \
 MARKER {RC5TOLCD}
     \
@@ -268,7 +268,7 @@ THEN                            \
 BW1                             \ from quit on truncated RC5 message
 BW2                             \ from repeated RC5 command
 BW3                             \ from end of RC5_INT
-BIC #$78,0(RSP)                 \4  SCG1,SCG0,OSCOFF,CPUOFF and GIE are OFF in retiSR to force LPM0_LOOP despite pending interrupt
+BIC #$78,0(RSP)                 \4  SCG0,OSCOFF,CPUOFF and GIE are OFF in retiSR to force LPM0_LOOP despite pending interrupt
 RETI                            \5
 ENDASM
     \
@@ -365,7 +365,7 @@ XOR #UF10,0(RSP)                \ 5 toggle bit memory
 \ ******************************\
 SUB #4,PSP                      \
 MOV &BASE,2(PSP)                \ save current base
-MOV #$0A,&BASE                  \ set hex base
+MOV #$10,&BASE                  \ set hex base
 MOV TOS,0(PSP)                  \ save TOS
 MOV X,TOS                       \
 LO2HI                           \ switch from assembler to FORTH
@@ -375,7 +375,8 @@ LO2HI                           \ switch from assembler to FORTH
     ['] (CR) IS CR              \ restore CR
     ['] (EMIT) IS EMIT          \ restore EMIT
 HI2LO                           \ switch from FORTH to assembler
-MOV @PSP+,&BASE                 \ restore current BASE
+MOV TOS,&BASE                   \ restore current BASE
+MOV @PSP+,TOS                   \
 \ ******************************\
 GOTO BW3
 \ ******************************\
@@ -549,6 +550,6 @@ ECHO
             ; downloading RC5toLCD.4th is done
 RST_HERE    ; this app is protected against <reset>
     \
-[THEN]
+[THEN]      \ ASM
     \
-START
\ No newline at end of file
+START
index 18ae8bb..76ecd69 100644 (file)
@@ -2,6 +2,13 @@
 ; RTC.f
 ; --------------------
 
+\ ==============================================================================
+\ routines RTC for MSP430fr5xxx and MSP430FR6xxx families only
+\ your target must have a LF_XTAL 32768Hz
+\ add a LF_XTAL line for your target in target.inc.
+\ ==============================================================================
+
+
 \ TARGET SELECTION (MSP430FR5xxx or MSP430FR6xxx only)
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
 \ MY_MSP430FR5738_1 MY_MSP430FR5738     MY_MSP430FR5948     MY_MSP430FR5948_1   
 \ FORTH conditionnal usage after IF UNTIL WHILE : 0= 0< = < > U<
 
 
-\ ==============================================================================
-\ routines RTC for MSP430fr5xxx and MSP430FR6xxx families only
-\ your target must have a LF_XTAL 32768Hz
-\ add a LF_XTAL line for your target in target.inc.
-\ ==============================================================================
-
 
 \ use :
-\ to set date, type : dd mm yyyy DATE!
+\ to set date, type : d m y DATE!
 \ to view date, type DATE?
-\ to set time, type : hh mm ss TIME!, or hh mm TIME!
+\ to set time, type : h m s TIME!, or h m TIME!
 \ to view time, type TIME?
  
-\ allow to write on a SD_Card file with a valid date and a valid time
+\ allow to write a file on a SD_Card with a valid date and a valid time
 
 
 PWR_STATE
-
-[DEFINED] ASM [UNDEFINED] {RTC} AND [IF]
     \
-
+[DEFINED] {RTC} [IF] {RTC} [THEN]     \ remove application
+    \
+[DEFINED] ASM [IF]      \ security test
+    \
 MARKER {RTC}
-
+    \
 [UNDEFINED] MAX [IF]
     \
 CODE MAX    \    n1 n2 -- n3       signed maximum
@@ -57,15 +59,14 @@ BW1 ADD #2,PSP
     MOV @IP+,PC
 ENDCODE
     \
-
 CODE MIN    \    n1 n2 -- n3       signed minimum
     CMP @PSP,TOS     \ n2-n1
     S<  ?GOTO BW1    \ n2<n1
 FW1 MOV @PSP+,TOS
     MOV @IP+,PC
 ENDCODE
-
-[THEN]
+    \
+[THEN]  \ MAX
     \
 
 [UNDEFINED] U.R [IF]
@@ -73,7 +74,7 @@ ENDCODE
   >R  <# 0 # #S #>  
   R> OVER - 0 MAX SPACES TYPE
 ;
-[THEN]
+[THEN]  \ U.R
     \
 
 CODE DATE?
@@ -139,9 +140,15 @@ CREATE ABUF 20 ALLOT
 : GET_TIME
     ECHO
     CR CR ."    DATE (DMY): "
+[DEFINED] LOAD" [IF]    \ ACCEPT is a dEFERed word and redirected to SD_ACCEPT!
     ABUF ABUF 20 (ACCEPT) EVALUATE CR 3 SPACES DATE!
     CR CR ."    TIME (HMS or HM): "
     ABUF ABUF 20 (ACCEPT) EVALUATE CR 3 SPACES TIME!
+[ELSE]                  \ ACCEPT is not a DEFERed word
+    ABUF ABUF 20 ACCEPT EVALUATE CR 3 SPACES DATE!
+    CR CR ."    TIME (HMS or HM): "
+    ABUF ABUF 20 ACCEPT EVALUATE CR 3 SPACES TIME!
+[THEN]
     CR
     HI2LO
     MOV #PSTACK,PSP \ to avoid stack empty error if lack of typed values.
@@ -149,7 +156,9 @@ CREATE ABUF 20 ALLOT
     MOV @IP+,PC
 ENDCODE
     \
+    \
+[THEN]  \ ASM
+    \
 PWR_HERE
-[THEN]
     \
 GET_TIME
index 0532ec2..f20bc9e 100644 (file)
 \   copy RTC.f              to \RTC.4TH             ( doesn't work with if FR2xxx or FR4xxx)
 
 PWR_STATE
-
-[DEFINED] ASM [DEFINED] TERM2SD" AND [UNDEFINED] {SD_TEST} AND [IF]
+    \
+[DEFINED] {SD_TEST} [IF] {SD_TEST} [THEN]   \ remove {SD_TEST} 
+    \
+[DEFINED] ASM [DEFINED] TERM2SD" AND [IF]   \ requirements test
     \
 MARKER {SD_TEST}
-
+    \
 
 [UNDEFINED] MAX [IF]    \ MAX and MIN are defined in {ANS_COMP}
     CODE MAX    \    n1 n2 -- n3       signed maximum
@@ -99,19 +101,24 @@ R> OVER - 0 MAX SPACES TYPE
 
 [UNDEFINED] DUMP [IF]    \ defined in {UTILITY}
 \ https://forth-standard.org/standard/tools/DUMP
-: DUMP                      \ adr n  --   dump memory
-  BASE @ >R $10 BASE !
-  SWAP $FFF0 AND SWAP
-  OVER + SWAP
+CODE DUMP                   \ adr n  --   dump memory
+PUSH IP
+PUSH &BASE                  \ save current base
+MOV #$10,&BASE              \ HEX base
+ADD @PSP,TOS                \ -- ORG END
+LO2HI
+  SWAP OVER OVER            \ -- END ORG END ORG 
+  U. 1 - U.                 \ -- END ORG        display org end-1  
+  $FFF0 AND                 \ -- END ORG_modulo_16
   DO  CR                    \ generate line
     I 7 U.R SPACE           \ generate address
-      I $10 + I            \ display 16 bytes
+      I $10 + I             \ display 16 bytes
       DO I C@ 3 U.R LOOP  
       SPACE SPACE
-      I $10 + I            \ display 16 chars
+      I $10 + I             \ display 16 chars
       DO I C@ $7E MIN BL MAX EMIT LOOP
   $10 +LOOP
-  R> BASE !
+  R> BASE !                 \ restore current base
 ;
 [THEN]
     \
@@ -153,6 +160,7 @@ R> OVER - 0 MAX SPACES TYPE
                             DEL" YOURFILE.TXT"
                             WRITE" YOURFILE.TXT"
                             ['] SD_EMIT IS EMIT
+                            ." DUMP "
                             PROGRAMSTART HERE OVER - DUMP
                             ['] (EMIT) IS EMIT
                             CLOSE
@@ -160,6 +168,7 @@ R> OVER - 0 MAX SPACES TYPE
                             IF  .
                                 WRITE" YOURFILE.TXT"
                                 ['] SD_EMIT IS EMIT
+                                CR ." DUMP "
                                 PROGRAMSTART HERE OVER - DUMP
                                 ['] (EMIT) IS EMIT
                                 CLOSE
@@ -182,6 +191,7 @@ R> OVER - 0 MAX SPACES TYPE
             THEN
         THEN
     THEN
+." it's done"
 ;
     \
 
index d5ef745..c4f76af 100644 (file)
@@ -29,7 +29,9 @@
     \
 
 PWR_STATE
-
+    \
+[DEFINED] {SD_TOOLS} [IF] {SD_TOOLS} [THEN]     \ remove {SD_TOOLS} if outside core 
+    \
 [DEFINED] ASM [DEFINED] LOAD" AND [UNDEFINED] {SD_TOOLS} AND [IF]
     \
 MARKER {SD_TOOLS}
@@ -140,11 +142,11 @@ CODE DIR                            \ Display CurrentDir first sector
 ENDCODE
 \ ----------------------------------\
     \
+[THEN]
+    \
+ECHO
             ; added : FAT to DUMP first sector of FAT1 and DIR for that of current DIRectory.
             ; added : SECTOR to DUMP a sector and CLUSTER for first sector of a cluster:
             ;         include a decimal point to force 32 bits number, example : .2 CLUSTER
-    \
-[THEN]
-ECHO
 
 PWR_HERE
index 4255264..ed23d56 100644 (file)
@@ -192,9 +192,3 @@ ENDCODE
 
 ; CODE TEST7
 ;            MOV 0(PSP),0(R16)  ; display an error "out of bounds" -->
-
-
-
-
-
-
index c5f41f3..c9dbe71 100644 (file)
@@ -29,7 +29,6 @@ LOOP_TEST   \ you should see 0 1 2 3 4 5 6 7 -->
     0= UNTIL 
 ;
 
-
 : LOOP_MAX      \ FIND_NOTHING      --
     0 0
     DO
@@ -37,8 +36,6 @@ LOOP_TEST   \ you should see 0 1 2 3 4 5 6 7 -->
     ABORT" 65536 LOOP " 
 ;
 
-
-
  : FIND_TEST            \ FIND_TEST <word>     --
     BL WORD             \ -- c-addr
         50000 0 
@@ -83,7 +80,6 @@ LOOP_TEST   \ you should see 0 1 2 3 4 5 6 7 -->
 \ 56 us for one search ( which gives the delay for QNUMBER in INTERPRET routine)
 \ 0,278 us / word, 2,22 cycles / word  
 
-
 \ --------
 \ KEY test
 \ --------
index 944f2bd..31186c6 100644 (file)
@@ -1,4 +1,6 @@
+\ ------------------------------------------------------------------------------
 \ UTILITY.f
+\ ------------------------------------------------------------------------------
 
 \ TARGET SELECTION
 \ MSP_EXP430FR5739  MSP_EXP430FR5969    MSP_EXP430FR5994    MSP_EXP430FR6989
 \ ASSEMBLER conditionnal usage with ?JMP ?GOTO      S<  S>=  U<   U>=  0=  0<>  <0
 
 PWR_STATE
-
-[DEFINED] ASM [UNDEFINED] {UTILITY} AND [IF]
     \
-
+[DEFINED] {UTILITY} [IF] {UTILITY} [THEN]     \ remove {UTILITY} if outside core 
+    \
+[DEFINED] ASM [UNDEFINED] {UTILITY} AND [IF]  \ required test and don't replicate {UTILITY} if inside core
+    \
 MARKER {UTILITY} 
     \
-
 [UNDEFINED] ? [IF]    \
 \ https://forth-standard.org/standard/tools/q
-CODE ?          \ adr --            display the content of adr
+\ ?         adr --            display the content of adr
+CODE ?          
     MOV @TOS,TOS
     MOV #U.,PC  \ goto U.
 ENDCODE
@@ -45,7 +48,8 @@ ENDCODE
 
 [UNDEFINED] .S [IF]    \
 \ https://forth-standard.org/standard/tools/DotS
-CODE .S                 \ --            display <depth> of Param Stack and stack contents if not empty
+\ .S            --            display <depth> of param Stack and stack contents if not empty
+CODE .S
     MOV     TOS,-2(PSP) \ -- TOS ( tos x x )
     MOV     PSP,TOS
     SUB     #2,TOS      \ to take count that TOS is first cell
@@ -73,7 +77,8 @@ COLON
     \
 
 [UNDEFINED] .RS [IF]    \
-CODE .RS                \ --           display <depth> of Return Stack and stack contents if not empty
+\ .S            --            display <depth> of Return Stack and stack contents if not empty
+CODE .RS
     MOV     TOS,-2(PSP) \ -- TOS ( tos x x ) 
     MOV     RSP,-6(PSP) \ -- TOS ( tos x  RSP )
     MOV     #RSTACK,TOS \ -- R0  ( tos x  RSP )
@@ -84,66 +89,6 @@ ENDCODE
 
 [UNDEFINED] WORDS [IF]
     \
-
-\ \ list all words of all dictionaries in CONTEXT.
-\ : WORDS                            \ --            
-\ 
-\ \ vvvvvvv  may be skipped   vvvvvvv
-\ \ BASE @                           \ -- BASE
-\ \ #10 BASE !
-\ \ CR ."    "
-\ \ INI_THREAD @ DUP
-\ \ 1 = IF DROP ." monothread"
-\ \     ELSE . ." threads"
-\ \     THEN ."  vocabularies"
-\ \ BASE !                           \ --
-\ \ ^^^^^^^  may be skipped   ^^^^^^^
-\ 
-\ CONTEXT                             \ -- CONTEXT
-\ BEGIN                               \ -- CONTEXT                             search dictionnary
-\     DUP                             \ -- CONTEXT CONTEXT 
-\     2 + SWAP                        \ -- CONTEXT+2 CONTEXT
-\     @ ?DUP                          \ -- CONTEXT+2 VOC_BODY VOC_BODY | -- CONTEXT+2 0
-\ WHILE                               \ -- CONTEXT+2 VOC_BODY                  dictionnary found
-\ CR ."    "                          \
-\ \   MOVE all threads of VOC_BODY in PAD
-\     PAD INI_THREAD @ DUP +          \ -- CONTEXT+2 VOC_BODY PAD THREAD*2
-\     MOVE                            \                                       char MOVE
-\ 
-\     BEGIN                           \ -- CONTEXT+2 
-\         0.                          \ -- CONTEXT+2 ptr=0 MAX=0
-\ \   select the MAX of NFA in threads
-\         INI_THREAD @ DUP + 0 DO     \                                         ptr = thread*2
-\         DUP I PAD + @               \ -- CONTEXT+2 ptr MAX MAX NFAx
-\         U< IF 
-\             DROP DROP I DUP PAD + @ \ -- CONTEXT+2 ptr MAX          if MAX U< NFAx replace adr and MAX
-\         THEN                        \ 
-\         2 +LOOP                     \ -- CONTEXT+2 ptr MAX
-\         ?DUP                        \ -- CONTEXT+2 ptr MAX          max NFA = 0 ? end of vocabulary ?
-\     WHILE                           \ -- CONTEXT+2 ptr MAX
-\ \   replace it by its LFA
-\         DUP                         \ -- CONTEXT+2 ptr MAX MAX
-\         2 - @                       \ -- CONTEXT+2 ptr MAX [LFA]
-\         ROT                         \ -- CONTEXT+2 MAX [LFA] ptr
-\         PAD +                       \ -- CONTEXT+2 MAX [LFA] thread
-\         !                           \ -- CONTEXT+2 MAX
-\ \   type it in 16 chars format
-\                 DUP                 \ -- CONTEXT+2 MAX MAX
-\             COUNT $7F AND TYPE      \ -- CONTEXT+2 MAX
-\                 C@ $0F AND          \ -- 
-\                 $10 SWAP - SPACES   \ -- CONTEXT+2 
-\ \   search next MAX of NFA 
-\     REPEAT
-\                                     \ -- CONTEXT+2 0
-\     DROP                            \ -- CONTEXT+2
-\     CR         
-\ \   repeat for each CONTEXT vocabulary
-\ 
-\ REPEAT                              \ -- CONTEXT+2
-\ DROP                                \ --
-\ ;
-
-
 \ https://forth-standard.org/standard/tools/WORDS
 \ list all words of vocabulary first in CONTEXT.
 : WORDS                             \ --            
@@ -160,13 +105,12 @@ ENDCODE
 \ \ ^^^^^^^^   may be skipped    ^^^^^^^^
 
 CR ."    "                          \
-CONTEXT @                           \ -- VOC_BODY
-\   MOVE all threads of VOC_BODY in PAD
+CONTEXT @                           \ -- VOC_BODY                   MOVE all threads of VOC_BODY in PAD
     PAD INI_THREAD @ DUP +          \ -- VOC_BODY PAD THREAD*2
     MOVE                            \
     BEGIN                           \ -- 
+\        0 DUP                       \ -- ptr=0 MAX=0                select the MAX of NFAs in all vocabulary threads
         0.                          \ -- ptr=0 MAX=0
-\   select the MAX of NFAs in all vocabulary threads
         INI_THREAD @ DUP + 0        \ -- ptr=0 MAX=0 THREADS*2 0
             DO                      \ -- ptr MAX            I =  PAD_ptr = thread*2
             DUP I PAD + @           \ -- ptr MAX MAX NFAx
@@ -176,14 +120,12 @@ CONTEXT @                           \ -- VOC_BODY
                 THEN                \ 
             2 +LOOP                 \ -- ptr MAX
         ?DUP                        \ -- ptr MAX MAX | -- ptr 0  
-    WHILE                           \ -- ptr MAX
-\   replace it by its LFA
+    WHILE                           \ -- ptr MAX                    replace it by its LFA
         DUP                         \ -- ptr MAX MAX
         2 - @                       \ -- ptr MAX [LFA]
         ROT                         \ -- MAX [LFA] ptr
         PAD +                       \ -- MAX [LFA] thread
-        !                           \ -- MAX                [LFA]=new_NFA --> PAD+ptr
-\   type it in 16 chars format
+        !                           \ -- MAX                [LFA]=new_NFA --> PAD+ptr   type it in 16 chars format
         DUP                         \ -- MAX MAX
         COUNT $7F AND               \ -- MAX addr count (with suppr. of immediate bit)
         TYPE                        \ -- MAX
@@ -213,7 +155,7 @@ CONTEXT @                           \ -- VOC_BODY
 [THEN]
     \
 
-[UNDEFINED] U.R [IF]    \ MAX and MIN are defined in {ANS_COMP}
+[UNDEFINED] U.R [IF]
 : U.R                       \ u n --           display u unsigned in n width (n >= 2)
 >R  <# 0 # #S #>  
 R> OVER - 0 MAX SPACES TYPE
@@ -223,19 +165,24 @@ R> OVER - 0 MAX SPACES TYPE
 
 [UNDEFINED] DUMP [IF]    \
 \ https://forth-standard.org/standard/tools/DUMP
-: DUMP                      \ adr n  --   dump memory
-  BASE @ >R $10 BASE !
-  SWAP $FFF0 AND SWAP
-  OVER + SWAP
+CODE DUMP                   \ adr n  --   dump memory
+PUSH IP
+PUSH &BASE                  \ save current base
+MOV #$10,&BASE              \ HEX base
+ADD @PSP,TOS                \ -- ORG END
+LO2HI
+  SWAP OVER OVER            \ -- END ORG END ORG 
+  U. U.                     \ -- END ORG        display org end 
+  $FFF0 AND                 \ -- END ORG_modulo_16
   DO  CR                    \ generate line
     I 7 U.R SPACE           \ generate address
-      I $10 + I            \ display 16 bytes
+      I $10 + I             \ display 16 bytes
       DO I C@ 3 U.R LOOP  
       SPACE SPACE
-      I $10 + I            \ display 16 chars
+      I $10 + I             \ display 16 chars
       DO I C@ $7E MIN BL MAX EMIT LOOP
   $10 +LOOP
-  R> BASE !
+  R> BASE !                 \ restore current base
 ;
 [THEN]
     \
@@ -243,4 +190,4 @@ R> OVER - 0 MAX SPACES TYPE
 [THEN]
     \
 ECHO
-PWR_HERE    ; added : ? .S .RS WORDS U.R MAX MIN DUMP 
+PWR_HERE   ; added : ? .S .RS WORDS U.R MAX MIN DUMP 
index f9e7478..b1194f3 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A C8 80 3E 80 04 05 00 18 00 1A DF EA D5
-4A C8 5C C8 00 00 00 00
+10 00 A4 C8 80 3E 80 04 05 00 18 00 38 E2 D0 D6
+64 C8 76 C8 00 00 00 00
 @21AA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,399 +51,449 @@ AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 21 60 C6 04 42 41 53 45 00 85 12 DA 21 C8 C4
 05 53 54 41 54 45 85 12 B6 21 74 C6 02 42 4C 00
 85 12 20 00 B4 C5 02 3C 23 00 B2 40 AA 21 AA 21
-30 4D 8C C6 01 23 5B 42 DA 21 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 C4 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 21 18 42
-AA 21 C8 4A 00 00 30 4D A4 C6 02 23 53 00 87 12
-A6 C6 04 C7 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA C6 02 23 3E 00 9F 42 AA 21 00 00 3E 40
-AA 21 2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 C6 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 C6 02 55 2E 00 87 12
-9A C6 34 C4 00 00 FE C6 18 C7 70 C9 38 C9 2A C4
-68 C5 02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5
-FE C6 9A C4 3C C7 18 C7 70 C9 38 C9 2A C4 50 C5
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 C7 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 21 30 4D 5C C5 05 41 4C 4C 4F 54 82 5E C4 21
-3E 4F 30 4D 14 C7 02 43 2C 00 1A 42 C4 21 CA 4E
-00 00 92 53 C4 21 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A C8 92 B3 1C 05 FD 27 1E 42 0C 05 B0 12
-5C C8 30 4D 92 C7 05 28 4B 45 59 29 18 42 0C 05
-EC 3F 38 C6 03 4B 45 59 30 40 EC C7 A6 C7 06 41
-43 43 45 50 54 00 30 40 16 C8 E6 C7 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 C8 3B 40 92 C8 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC C8 92 B3 1C 05 05 24 18 42 0C 05 38 90 0A 00
-04 20 21 53 39 40 76 C8 4D 15 B2 40 11 00 0E 05
-F2 C2 03 02 A2 B3 1C 05 FD 27 30 41 B2 40 13 00
-0E 05 F2 D2 03 02 A2 B3 1C 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 C8 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-0C 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 0E 05 A2 B3 1C 05 FD 27 30 4D BE C8 2D 83
-92 B3 1C 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C C8 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 C7 04 45 4D 49 54 00 30 40 DE C8
-E6 C8 04 45 43 48 4F 00 B2 40 82 48 B0 C8 30 4D
-80 C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 C8
-30 4D D6 C8 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 C7 02 43 52 00 30 40 1A C9
-36 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A C9 EF 3F 5C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A C7 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 C5
-28 C6 08 C5 EC C8 12 C6 80 C9 2A C4 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21 30 4D
-A2 C9 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 21
-30 4D 46 C9 82 53 22 00 87 12 34 C4 8C C9 40 CC
-BC C9 34 C4 22 00 0C CA AA C9 DC C9 3D 41 6E 4E
-1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63 C4 21
-30 4D 02 C9 82 2E 22 00 87 12 C8 C9 34 C4 70 C9
-40 CC 2A C4 00 00 04 57 4F 52 44 00 3C 40 BE 21
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 21
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A C9 03 55 4D 2A 2C 4F 0A 43 08 43 0B 43 19 43
-0E B9 02 24 08 5C 0B 6A 0C 5C 0A 6A 09 59 F8 2B
-8F 48 00 00 0E 4B 30 4D 6A C6 07 3E 4E 55 4D 42
-45 52 2C 4F 6C 4C 7C 80 30 00 7C 90 0A 00 02 28
-7C 80 07 00 1C 92 DA 21 23 2C 2E 15 2F 83 9F 4F
-04 00 00 00 1E 42 DA 21 3D 40 2E CB D4 3F 30 CB
-2F 12 9F 4F 06 00 00 00 1E 42 DA 21 3D 40 42 CB
-CA 3F 44 CB 3E 51 BF 51 00 00 0E 63 AF 4F 06 00
-8F 4E 04 00 1D 17 2F 53 9F 53 00 00 1E 83 D1 23
-30 4D 03 12 0D 12 12 12 DA 21 32 C0 00 02 6D 4E
-0D 5E 0C 4E 7A 40 2E 00 0D 9C 0A 28 7A 9C FC 23
-32 D0 00 02 FC 4C FE FF 0D 9C FC 2F DE 83 00 00
-3D 40 EE CB 3F 82 8F 4E 06 00 8F 43 04 00 8F 43
-02 00 0A 4E 7E 4A 8F 4A 00 00 79 4A 79 90 2D 00
-17 2C 79 80 24 00 04 20 B2 40 10 00 DA 21 0A 3C
-59 83 03 20 A2 43 DA 21 05 3C 69 53 9A 23 B2 40
-0A 00 DA 21 8F 4A 00 00 1E 83 79 4A 79 90 2D 00
-90 23 B1 43 04 00 8F 4A 00 00 1E 83 8A 3F F0 CB
-2F 53 0E 93 2C 17 82 4C DA 21 03 24 2F 52 0E F3
-30 4D 9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20
-3E E3 BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63
-00 00 32 B0 00 02 01 20 2F 53 30 4D F2 C8 07 45
-58 45 43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C
-1A 42 C4 21 A2 53 C4 21 8A 4E 00 00 3E 4F 30 4D
-3E CC 87 4C 49 54 45 52 41 4C 82 93 B6 21 0F 24
-1A 42 C4 21 A2 52 C4 21 BA 40 34 C4 00 00 8A 4E
-02 00 3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D
-B2 C9 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 82 4E BE 21 B2 4F C0 21 3E 4F
-82 43 C2 21 87 12 90 C6 0C CA AC CC 3D 40 B8 CC
-DC 22 3D 41 3E 4F 30 4D BA CC 0A 4E 3E 4F 3D 40
-D0 CC 4F 27 3D 40 A6 CC 1A E2 B6 21 B9 27 B3 23
-D2 CC 3E 4F 3D 40 A6 CC C0 23 DE 53 00 00 68 4E
-08 5E F8 40 3F 00 00 00 3D 40 00 CF CD 3F 2E CC
-08 45 56 41 4C 55 41 54 45 00 39 40 BE 21 3C 49
-3B 49 3A 49 3D 15 B0 12 2A C4 96 CC 0E CD B2 41
-C2 21 B2 41 C0 21 B2 41 BE 21 3D 41 30 4D 4C C6
-04 51 55 49 54 00 31 40 E0 20 B2 40 00 20 00 20
-82 43 B6 21 82 43 08 18 B0 12 2A C4 8C C9 03 0D
-6F 6B 70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 38 C9
-96 CC DE C4 92 C5 8C C9 0D 73 74 61 63 6B 20 65
-6D 70 74 79 21 20 A0 CD 34 C4 30 FF 98 C7 D2 C5
-8C C9 0B 46 52 41 4D 20 66 75 6C 6C 21 20 A0 CD
-86 C6 F2 C4 E0 C5 3C CD 8C C9 03 0D 20 20 DC C5
-42 CD FE C7 05 41 42 4F 52 54 3F 40 80 20 C3 3F
-8F 93 02 00 91 26 B2 40 82 48 B0 C8 B0 12 B4 D2
-A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 F2 C2 03 02
-92 C3 1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
-18 83 FA 23 92 B3 1C 05 F3 23 87 12 8C C9 04 1B
-5B 37 6D 00 70 C9 70 C9 8C C9 04 1B 5B 30 6D 00
-70 C9 C2 D1 04 D2 0A D2 52 D2 AA C9 9A CD 94 CD
-86 41 42 4F 52 54 22 00 87 12 C8 C9 34 C4 A0 CD
-40 CC 2A C4 64 CA 01 27 87 12 90 C6 0C CA 6A CA
-E0 C5 26 CE 2A C4 DA CC 96 C6 81 5C 92 42 BE 21
-C2 21 30 4D 00 00 81 5B 82 43 B6 21 30 4D 2A CE
-01 5D B2 43 B6 21 30 4D 36 CE 83 5B 27 5D 87 12
-18 CE 34 C4 34 C4 40 CC 40 CC 2A C4 BE 4F 02 00
-3E 4F 30 4D 14 C9 82 49 53 00 87 12 86 C6 F2 C4
-E0 C5 7E CE 4E CE 34 C4 5C CE 40 CC 2A C4 18 CE
-5C CE 2A C4 66 CE 09 49 4D 4D 45 44 49 41 54 45
-1A 42 AE 21 FA D0 80 00 00 00 30 4D C4 C9 87 52
-45 43 55 52 53 45 19 42 C4 21 99 42 B2 21 00 00
-A2 53 C4 21 30 4D 20 CD 88 50 4F 53 54 50 4F 4E
-45 00 87 12 90 C6 0C CA 6A CA 54 C4 E0 C5 26 CE
-92 C5 E0 C5 E2 CE 34 C4 34 C4 40 CC 40 CC 34 C4
-40 CC 40 CC 2A C4 82 9F B4 21 A0 24 87 12 8C C9
-0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21
-A6 CD 87 12 E8 C9 90 C6 0C CA 0C CF 08 4E 7A 4E
-5A D3 5A 53 0A 58 19 42 C8 21 6E 4E 3E F0 1E 00
-09 5E 82 48 AE 21 82 49 B0 21 82 4A B2 21 2A 52
-82 4A C4 21 3E 4F 3D 41 30 41 06 CA 08 56 41 52
-49 41 42 4C 45 00 B0 12 02 CF BA 40 86 12 FC FF
-71 3C 82 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12
-02 CF BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C
-54 CF 06 43 52 45 41 54 45 00 B0 12 02 CF BA 40
-85 12 FC FF 8A 4A FE FF 55 3C F0 CC 05 44 4F 45
-53 3E 1A 42 B2 21 BA 40 84 12 00 00 8A 4D 02 00
-3D 41 30 4D 8C CF 05 44 45 46 45 52 B0 12 02 CF
-BA 40 30 40 FC FF BA 40 A2 CF FE FF 3B 3C 4A CE
-01 3A B0 12 02 CF BA 40 87 12 FC FF A2 83 C4 21
-B2 43 B6 21 82 4F B4 21 30 4D C0 CF 81 3B 82 93
-B6 21 24 27 87 12 34 C4 2A C4 40 CC E6 CE 38 CE
-2A C4 F4 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-32 3D 52 CC 06 4D 41 52 4B 45 52 00 B0 12 02 CF
-BA 40 84 12 FC FF BA 40 F2 CF FE FF 9A 42 C6 21
-00 00 28 83 8A 48 02 00 A2 52 C4 21 18 42 AE 21
-19 42 B0 21 A8 49 FE FF 89 48 00 00 30 4D 86 CE
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 C4 21 A2 52
-C4 21 BE 40 E0 C5 00 00 2E 53 30 4D A6 CF 84 45
-4C 53 45 00 A2 52 C4 21 1A 42 C4 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D D2 CA 84 54
-48 45 4E 00 9E 42 C4 21 00 00 3E 4F 30 4D 72 CF
-85 42 45 47 49 4E 30 40 98 C7 7E D0 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 C4 21 1A 42 C4 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 00 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F 3C CF 85 57 48 49 4C 45
-87 12 44 D0 78 C4 2A C4 9E CE 86 52 45 50 45 41
-54 00 87 12 C2 D0 84 D0 2A C4 5E D0 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 C4 21 1E 42 C4 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D 04 D0 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-C4 21 1A 42 C4 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D F4 C7 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F 14 D1 85 4C 45 41 56 45 1A 42 C4 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-C4 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 56 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 34 C4 CA 21 FA C4
-2A C4 84 12 BA D1 30 D6 06 D6 9E DE 16 CE EE D5
-48 D1 68 DE A0 DD 76 D2 90 D2 76 DE BE DD 46 C5
-34 DD 40 CE B4 DD 00 00 3A 40 0E 00 39 40 CA 21
-38 40 CC 21 D9 3F 3A 40 0E 00 39 40 CC 21 38 40
-CA 21 CC 3F 82 43 CC 21 30 4D 92 42 CA 21 C8 21
-30 4D 14 D2 3A 4E 82 4A C6 21 2E 4E 82 4E C4 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D B8 CE 09 50 57 52 5F 53 54 41
-54 45 84 12 12 D2 EA D5 1A DF DA D0 09 52 53 54
-5F 53 54 41 54 45 92 42 0E 18 56 D2 92 42 0C 18
-58 D2 EF 3F 48 D2 08 50 57 52 5F 48 45 52 45 00
-92 42 C6 21 56 D2 92 42 C4 21 58 D2 30 4D 5C D2
-08 52 53 54 5F 48 45 52 45 00 92 42 C6 21 0E 18
-92 42 C4 21 0C 18 EC 3F B2 40 42 D4 A4 D4 B2 40
-84 C8 78 C8 B2 40 DE C8 EE C8 B2 40 1A C9 2E C9
-B2 40 EC C7 FA C7 82 43 AC DC 82 43 B8 DC 82 43
-C4 DC 82 43 F4 DC 82 43 00 DD 82 43 0C DD B2 40
-0A 00 DA 21 30 41 CA D0 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 E2 FF FA 23 B0 12
-A8 D2 B2 40 1A DF 0C 18 B2 40 EA D5 0E 18 AB 3F
-90 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D DC CF 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D 44 D3 86 5B 45 4C 53 45 5D 00 87 12 34 C4
-01 00 90 C6 0C CA 88 CC 44 C4 E0 C5 CA D3 8A C4
-8A C4 8C C9 04 5B 49 46 5D 00 1A D3 EA C5 88 D3
-CA CA 2C C5 DC C5 BE D3 8A C4 8A C4 8C C9 06 5B
-45 4C 53 45 5D 00 1A D3 EA C5 AC D3 CA CA 4E D3
-44 C4 E0 C5 A8 D3 2C C5 DC C5 BE D3 8C C9 06 5B
-54 48 45 4E 5D 00 1A D3 EA C5 BE D3 4E D3 54 C4
-EA C5 C6 D3 2A C4 DC C5 62 D3 CA CA 8C C9 03 0D
-6B 6F 70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 E0 D3
-82 43 C2 21 82 4E BE 21 B2 4F C0 21 3E 4F 3D 40
-62 D3 30 4D 54 D3 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D F6 D3 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 90 C6 0C CA 6A CA 6C C4 86 C5 2A C4
-06 D4 89 5B 44 45 46 49 4E 45 44 5D 87 12 90 C6
-0C CA 6A CA 6C C4 2A C4 40 D0 06 28 57 41 52 4D
-29 00 1E 42 08 18 87 12 8C C9 05 0D 1B 5B 37 6D
-70 C9 82 C7 8C C9 27 20 46 61 73 74 46 6F 72 74
-68 20 56 31 36 32 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 C9
-34 C4 30 FF 98 C7 28 C5 4E C7 8C C9 0B 62 79 74
-65 73 20 66 72 65 65 20 AC CD E8 D2 04 57 41 52
-4D 00 30 40 42 D4 12 D3 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A CC 01 B2 D0 00 08 04 02
-B2 D3 06 02 B2 43 02 02 B2 40 00 01 24 02 B2 40
-FF FE 22 02 B2 D0 FF FE 26 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 40 A5 00 A1 01
-F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
-B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40 E9 01
-84 01 39 40 40 00 B2 40 00 06 2A 02 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 B4 D4 39 40 E2 FF
-89 4A 00 00 29 53 FC 23 92 42 02 18 EC FF B2 40
-18 00 0A 18 31 40 E0 20 3F 40 80 20 37 40 00 C4
-36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
-DA 21 B2 43 AC 21 92 C3 30 01 18 42 08 18 D2 B3
-00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
-00 05 B2 42 06 05 B2 40 A1 F7 08 05 F2 D0 03 00
-0A 02 92 C3 00 05 92 D3 1A 05 3D 40 C4 D5 18 42
-08 18 38 90 0A 00 9B 26 38 90 16 00 98 2E 28 93
-52 22 47 26 A2 D4 84 12 BA D1 10 DC BC DC C4 DB
-10 DD 8A DB 44 DC 8E D8 00 00 80 DB 30 DC E2 DB
-20 DC 9E D9 00 00 00 00 22 DD E6 D1 3A D4 85 48
-49 32 4C 4F 87 12 98 C7 58 D0 40 CC 38 CE E8 D1
-C6 D5 2A C4 A8 D4 04 43 4F 44 45 00 B0 12 02 CF
-A2 82 C4 21 87 12 D4 CF DC C5 FE D5 00 00 07 45
-4E 44 43 4F 44 45 87 12 F6 D1 E6 CE 2A C4 BC D0
-03 41 53 4D 92 42 C8 21 B8 21 B2 40 CA D5 C8 21
-E5 3F 1E D6 06 45 4E 44 41 53 4D 00 92 42 B8 21
-C8 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 21
-BA 40 87 12 00 00 A2 53 C4 21 B2 43 B6 21 30 40
-F6 D1 00 00 05 4C 4F 32 48 49 1A 42 C4 21 BA 40
-B0 12 00 00 BA 40 2A C4 02 00 A2 52 C4 21 ED 3F
-38 40 BE 21 39 48 2A 48 09 5A 1A 52 C2 21 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 21
-30 4D B0 12 2A C4 0C CA 6A CA EA C5 C6 D6 62 CB
-E0 C5 26 CE E8 D6 C8 D6 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 C4 21 A2 53
-C4 21 89 4E 00 00 3E 40 29 00 12 12 C2 21 92 53
-C2 21 B0 12 2A C4 0C CA 62 CB E0 C5 1A D7 10 D7
-21 53 3E 90 10 00 BB 2D 30 41 1C D7 B2 41 C2 21
-22 D3 30 41 87 12 90 C6 90 D6 2C D7 82 43 BC 21
-92 42 C4 21 BA 21 A2 53 C4 21 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 C2 21 B0 12 B2 D6 0E 93
-04 20 B2 40 00 03 BC 21 27 3C 1E 93 04 20 B2 40
-10 03 BC 21 21 3C 2E 93 04 20 B2 40 20 03 BC 21
-1B 3C 2E 92 04 20 B2 40 20 02 BC 21 15 3C 3E 92
-04 20 B2 40 30 02 BC 21 0F 3C 3E 93 04 20 B2 40
-30 03 BC 21 09 3C B2 40 30 00 BC 21 19 42 C4 21
-A2 53 C4 21 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 BC 21 92 53 C2 21
-30 12 9C D7 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 BC 21 92 53 C2 21 B0 12 FA D6 0E 20 B2 50
-10 00 BC 21 3E 40 2B 00 B0 12 FA D6 32 24 92 92
-BE 21 C2 21 02 24 92 53 C2 21 8E 10 82 5E BC 21
-D3 3F B0 12 FA D6 F9 23 B2 50 10 00 BC 21 3E 40
-28 00 B0 12 B2 D6 30 12 EC D7 67 3F 87 12 90 C6
-90 D6 24 D8 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 BC 21 C2 3F B0 12 FA D6 DF 23 B2 50
-80 00 BC 21 3E 40 28 00 B0 12 B2 D6 B0 12 EA D6
-D5 23 3D 40 26 CE 30 4D 70 C8 04 52 45 54 49 00
-87 12 34 C4 00 13 40 CC 2A C4 34 C4 2C 00 24 D7
-1C D8 74 D8 2E 4E 1E D2 BC 21 19 42 BA 21 92 3F
-74 D6 03 4D 4F 56 84 12 6A D8 00 40 82 D8 05 4D
-4F 56 2E 42 84 12 6A D8 40 40 00 00 03 41 44 44
-84 12 6A D8 00 50 9C D8 05 41 44 44 2E 42 84 12
-6A D8 40 50 A8 D8 04 41 44 44 43 00 84 12 6A D8
-00 60 B6 D8 06 41 44 44 43 2E 42 00 84 12 6A D8
-40 60 5A D8 04 53 55 42 43 00 84 12 6A D8 00 70
-D4 D8 06 53 55 42 43 2E 42 00 84 12 6A D8 40 70
-E2 D8 03 53 55 42 84 12 6A D8 00 80 F2 D8 05 53
-55 42 2E 42 84 12 6A D8 40 80 56 D6 03 43 4D 50
-84 12 6A D8 00 90 0C D9 05 43 4D 50 2E 42 84 12
-6A D8 40 90 44 D6 04 44 41 44 44 00 84 12 6A D8
-00 A0 26 D9 06 44 41 44 44 2E 42 00 84 12 6A D8
-40 A0 18 D9 03 42 49 54 84 12 6A D8 00 B0 44 D9
-05 42 49 54 2E 42 84 12 6A D8 40 B0 50 D9 03 42
-49 43 84 12 6A D8 00 C0 5E D9 05 42 49 43 2E 42
-84 12 6A D8 40 C0 6A D9 03 42 49 53 84 12 6A D8
-00 D0 78 D9 05 42 49 53 2E 42 84 12 6A D8 40 D0
-00 00 03 58 4F 52 84 12 6A D8 00 E0 92 D9 05 58
-4F 52 2E 42 84 12 6A D8 40 E0 C4 D8 03 41 4E 44
-84 12 6A D8 00 F0 AC D9 05 41 4E 44 2E 42 84 12
-6A D8 40 F0 90 C6 24 D7 CA D9 1A 42 BC 21 B2 F0
-70 00 BC 21 8A 10 3A F0 0F 00 82 DA BC 21 4A 3F
-FE D8 03 52 52 43 84 12 C4 D9 00 10 E2 D9 05 52
-52 43 2E 42 84 12 C4 D9 40 10 EE D9 04 53 57 50
-42 00 84 12 C4 D9 80 10 FC D9 03 52 52 41 84 12
-C4 D9 00 11 0A DA 05 52 52 41 2E 42 84 12 C4 D9
-40 11 16 DA 03 53 58 54 84 12 C4 D9 80 11 00 00
-04 50 55 53 48 00 84 12 C4 D9 00 12 30 DA 06 50
-55 53 48 2E 42 00 84 12 C4 D9 40 12 84 D9 04 43
-41 4C 4C 00 84 12 C4 D9 80 12 34 C4 2C 00 24 D7
-1C D8 64 DA 59 42 BC 21 5A 42 BD 21 82 4A BC 21
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-82 C7 8C C9 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 A6 CD 3E DA 05 50 55 53 48 4D 84 12 5A DA
-00 15 A6 DA 04 50 4F 50 4D 00 84 12 5A DA 00 17
-90 C6 90 D6 C6 DA 82 43 BC 21 92 42 C4 21 BA 21
-A2 53 C4 21 92 53 C2 21 3E 40 2C 00 B0 12 2A C4
-0C CA 62 CB E0 C5 26 CE 1C D8 EC DA 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 24 DA 04 52
-52 43 4D 00 84 12 C0 DA 50 00 FE DA 04 52 52 41
-4D 00 84 12 C0 DA 50 01 0C DB 04 52 4C 41 4D 00
-84 12 C0 DA 50 02 1A DB 04 52 52 55 4D 00 84 12
-C0 DA 50 03 85 12 00 3C 28 DB 03 53 3E 3D 85 12
-00 38 3A DB 02 53 3C 00 85 12 00 34 B4 DA 03 30
-3E 3D 85 12 00 30 4E DB 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 62 DB 03 55 3E 3D
-85 12 00 28 58 DB 03 30 3C 3E 85 12 00 24 76 DB
-02 30 3D 00 85 12 00 20 7C C8 02 49 46 00 1A 42
-C4 21 8A 4E 00 00 A2 53 C4 21 0E 4A 30 4D 6C DB
-04 54 48 45 4E 00 1A 42 C4 21 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D 34 D9 04 45 4C 53 45 00 1A 42 C4 21 BA 40
-00 3C 00 00 A2 53 C4 21 2F 83 8F 4A 00 00 E3 3F
-A0 DB 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C4 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C4 21 30 4D B8 D9
-05 41 47 41 49 4E 87 12 34 DB E8 DB 2A C4 00 00
-05 57 48 49 4C 45 87 12 8E DB 78 C4 2A C4 44 DB
-06 52 45 50 45 41 54 00 87 12 34 DB E8 DB A6 DB
-2A C4 00 00 03 4A 4D 50 87 12 18 CE 34 DB E8 DB
-2A C4 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 52 DC 18 CE
-78 C4 E8 DB 2A C4 88 DC 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 C4 21 00 00 30 4D 88 43 00 00
-A4 3F 4E DA 03 42 57 31 84 12 86 DC 00 00 A4 DC
-03 42 57 32 84 12 86 DC 00 00 B0 DC 03 42 57 33
-84 12 86 DC 00 00 C8 DC 3D 41 1A 42 C4 21 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 C4 21 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 C6 DC 00 00 EC DC 03 46 57 32 84 12 C6 DC
-00 00 F8 DC 03 46 57 33 84 12 C6 DC 00 00 04 DD
-04 47 4F 54 4F 00 87 12 34 DB 18 CE 36 CC 2A C4
-74 DC 05 3F 47 4F 54 4F 87 12 52 DC 18 CE 36 CC
-2A C4 22 D4 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-F4 C9 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 C4 3C 00 EC C8 82 C7 34 C4
-08 00 EC C8 34 C4 3E 00 EC C8 38 C9 8A C4 8A C4
-C4 C5 EA C5 8C DD 62 C4 62 C4 2A C4 F0 C5 28 C6
-F2 C4 4E C7 34 C4 02 00 00 C6 8E DD 2A C4 42 DD
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 20
-D3 3F FA CA 01 3F 2E 4E 30 40 4E C7 9C D4 05 57
-4F 52 44 53 87 12 2C C9 34 C4 03 00 4E C9 34 C4
-CA 21 F2 C4 50 C6 34 C4 10 00 44 C4 20 C5 8A D1
-34 C4 00 00 44 C4 34 C4 10 00 44 C4 20 C5 34 C4
-00 00 F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4 D2 C5
-E0 C5 12 DE 62 C4 62 C4 28 C6 44 C4 50 C6 20 C5
-F2 C4 34 C4 02 00 00 C6 F4 DD 54 C4 E0 C5 54 DE
-44 C4 34 C4 02 00 28 C5 F2 C4 9A C4 50 C6 20 C5
-FA C4 44 C4 88 CC 34 C4 7F 00 36 C5 70 C9 08 C5
-34 C4 0F 00 36 C5 34 C4 10 00 78 C4 28 C5 4E C9
-DC C5 E0 DD 62 C4 2A C4 84 D1 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 5A DE 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 9C D0 03 55 2E 52 87 12 B0 C4 9A C6
-34 C4 00 00 A6 C6 FE C6 18 C7 BC C4 8A C4 28 C5
-34 C4 00 00 5E DE 4E C9 70 C9 2A C4 EC D0 04 44
-55 4D 50 00 0D 12 12 12 DA 21 B2 40 10 00 DA 21
-2E 5F BF F0 F0 FF 00 00 B0 12 2A C4 78 C4 F0 C5
-2C C9 28 C6 34 C4 07 00 7A DE 38 C9 28 C6 34 C4
-10 00 20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4 03 00
-7A DE 12 C6 D8 DE 38 C9 38 C9 28 C6 34 C4 10 00
-20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4 7E 00 6C DE
-90 C6 5E DE EC C8 12 C6 F6 DE 34 C4 10 00 00 C6
-C0 DE BC C4 7A C6 FA C4 2A C4
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C6 01 23 1B 42 DA 21 2C 4F 2F 83 B0 12
+B4 C6 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 21 18 42 AA 21 C8 4A 00 00
+30 4D F4 C6 02 23 53 00 87 12 F6 C6 2E C7 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C7 02 23
+3E 00 9F 42 AA 21 00 00 3E 40 AA 21 2E 8F 30 4D
+26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C6
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C6 02 55 2E 00 87 12 9A C6 34 C4 00 00
+28 C7 42 C7 A2 C9 6A C9 2A C4 68 C5 02 44 2E 00
+87 12 9A C6 78 C4 8A C4 6E C5 28 C7 9A C4 66 C7
+42 C7 A2 C9 6A C9 2A C4 50 C5 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C7 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 21 30 4D 5C C5
+05 41 4C 4C 4F 54 82 5E C4 21 3E 4F 30 4D 3E C7
+02 43 2C 00 1A 42 C4 21 CA 4E 00 00 92 53 C4 21
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C8 92 B3
+1C 05 FD 27 1E 42 0C 05 B0 12 76 C8 30 4D BC C7
+05 28 4B 45 59 29 18 42 0C 05 EC 3F 38 C6 03 4B
+45 59 30 40 16 C8 D0 C7 06 41 43 43 45 50 54 00
+3C 40 FA C8 3B 40 AC C8 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE C8 92 B3 1C 05
+05 24 18 42 0C 05 38 90 0A 00 04 20 21 53 39 40
+90 C8 4D 15 B2 40 11 00 0E 05 F2 C2 03 02 A2 B3
+1C 05 FD 27 30 41 B2 40 13 00 0E 05 F2 D2 03 02
+A2 B3 1C 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 C8 0F 3C C6 C8 38 40 20 00 3D 52 0A 3C D0 C8
+78 42 3D 40 EE C8 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 0E 05 A2 B3 1C 05 FD 27 30 4D F0 C8
+2D 83 92 B3 1C 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 C8 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C C7 04 45 4D 49 54 00 30 40
+10 C9 18 C9 04 45 43 48 4F 00 B2 40 82 48 E2 C8
+30 4D AA C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 C8 30 4D 08 C9 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 C7 02 43 52 00 30 40
+4C C9 60 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 C9 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C C9 EF 3F 8E C9 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 C7 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 C5 28 C6 08 C5 1E C9 12 C6 B2 C9 2A C4 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21
+30 4D D4 C9 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 21 30 4D 78 C9 82 53 22 00 87 12 34 C4 BE C9
+AA CC EE C9 34 C4 22 00 3E CA DC C9 0E CA 3D 41
+6E 4E 1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63
+C4 21 30 4D 34 C9 82 2E 22 00 87 12 FA C9 34 C4
+A2 C9 AA CC 2A C4 00 00 04 57 4F 52 44 00 3C 40
+BE 21 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 21 F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F
+30 4D 9C C9 03 55 4D 2A 2C 4F 0B 43 09 43 08 43
+1A 43 0E BA 02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A
+F8 2B 8F 49 00 00 0E 48 30 4D 6A C6 07 3E 4E 55
+4D 42 45 52 2C 4F 0B 4E 69 4C 79 80 30 00 79 90
+0A 00 05 28 79 80 07 00 79 90 0A 00 20 28 19 92
+DA 21 1D 2C 4D 15 1C 4F 02 00 1E 42 DA 21 3D 40
+64 CB D3 3F 66 CB 81 49 02 00 1C 4F 04 00 1E 42
+DA 21 3D 40 78 CB C9 3F 7A CB 39 51 3E 61 8F 49
+04 00 8F 4E 02 00 2B 17 1C 53 1B 83 D5 23 8F 4C
+00 00 0E 4B 30 4D 0C 43 1B 42 DA 21 32 C0 00 02
+2D 15 3D 40 F0 CB 3F 82 8F 4E 06 00 8F 43 04 00
+8F 43 02 00 0C 4E 7B 4C 69 4C 79 80 2C 00 13 2C
+59 83 A2 43 DA 21 79 52 09 24 B2 52 DA 21 69 53
+05 24 B2 40 10 00 DA 21 59 83 AE 23 1C 53 1B 83
+69 4C 79 80 2C 00 59 93 A7 23 B1 43 02 00 CC 3F
+F2 CB 0E 93 31 24 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C1 3F FC 90 2C 00 00 00 25 20 0A 4B
+1B 42 DA 21 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 AC C6 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B DA 21
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D 24 C9 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42 C4 21 A2 53
+C4 21 8A 4E 00 00 3E 4F 30 4D A8 CC 87 4C 49 54
+45 52 41 4C 82 93 B6 21 0F 24 1A 42 C4 21 A2 52
+C4 21 BA 40 34 C4 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D E4 C9 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+82 4E BE 21 B2 4F C0 21 3E 4F 82 43 C2 21 87 12
+90 C6 3E CA 16 CD 3D 40 22 CD C0 22 3D 41 3E 4F
+30 4D 24 CD 0A 4E 3E 4F 3D 40 3A CD 34 27 3D 40
+10 CD 1A E2 B6 21 B9 27 B3 23 3C CD 3E 4F 3D 40
+10 CD C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 6A CF CD 3F 98 CC 08 45 56 41 4C 55
+41 54 45 00 39 40 BE 21 3C 49 3B 49 3A 49 3D 15
+B0 12 2A C4 00 CD 78 CD B2 41 C2 21 B2 41 C0 21
+B2 41 BE 21 3D 41 30 4D 4C C6 04 51 55 49 54 00
+31 40 E0 20 B2 40 00 20 00 20 82 43 B6 21 82 43
+08 18 B0 12 2A C4 BE C9 03 0D 6F 6B A2 C9 6A C9
+5A C6 44 C4 64 C6 30 C8 6A C9 00 CD DE C4 92 C5
+BE C9 0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20
+0A CE 34 C4 30 FF C2 C7 D2 C5 BE C9 0B 46 52 41
+4D 20 66 75 6C 6C 21 20 0A CE 86 C6 F2 C4 E0 C5
+A6 CD BE C9 03 0D 20 20 DC C5 AC CD 28 C8 05 41
+42 4F 52 54 3F 40 80 20 C3 3F 8F 93 02 00 75 26
+B2 40 82 48 E2 C8 B0 12 8C D3 A2 B3 1C 05 FD 27
+B2 40 11 00 0E 05 F2 C2 03 02 92 C3 1C 05 38 40
+A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3
+1C 05 F3 23 87 12 BE C9 04 1B 5B 37 6D 00 A2 C9
+A2 C9 BE C9 04 1B 5B 30 6D 00 A2 C9 6A D2 C8 D2
+DC D2 2A D3 DC C9 04 CE FE CD 86 41 42 4F 52 54
+22 00 87 12 FA C9 34 C4 0A CE AA CC 2A C4 96 CA
+01 27 87 12 90 C6 3E CA 9C CA E0 C5 90 CE 2A C4
+44 CD 96 C6 81 5C 92 42 BE 21 C2 21 30 4D 00 00
+81 5B 82 43 B6 21 30 4D 94 CE 01 5D B2 43 B6 21
+30 4D A0 CE 83 5B 27 5D 87 12 82 CE 34 C4 34 C4
+AA CC AA CC 2A C4 BE 4F 02 00 3E 4F 30 4D 46 C9
+82 49 53 00 87 12 86 C6 F2 C4 E0 C5 E8 CE B8 CE
+34 C4 C6 CE AA CC 2A C4 82 CE C6 CE 2A C4 D0 CE
+09 49 4D 4D 45 44 49 41 54 45 1A 42 AE 21 FA D0
+80 00 00 00 30 4D F6 C9 87 52 45 43 55 52 53 45
+19 42 C4 21 99 42 B2 21 00 00 A2 53 C4 21 30 4D
+8A CD 88 50 4F 53 54 50 4F 4E 45 00 87 12 90 C6
+3E CA 9C CA 54 C4 E0 C5 90 CE 92 C5 E0 C5 4C CF
+34 C4 34 C4 AA CC AA CC 34 C4 AA CC AA CC 2A C4
+82 9F B4 21 A0 24 87 12 BE C9 0F 73 74 61 63 6B
+20 6D 69 73 6D 61 74 63 68 21 10 CE 87 12 1A CA
+90 C6 3E CA 76 CF 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 C8 21 6E 4E 3E F0 1E 00 09 5E 82 48 AE 21
+82 49 B0 21 82 4A B2 21 2A 52 82 4A C4 21 3E 4F
+3D 41 30 41 38 CA 08 56 41 52 49 41 42 4C 45 00
+B0 12 6C CF BA 40 86 12 FC FF 71 3C EC CC 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 6C CF BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F 62 3C BE CF 06 43 52 45
+41 54 45 00 B0 12 6C CF BA 40 85 12 FC FF 8A 4A
+FE FF 55 3C 5A CD 05 44 4F 45 53 3E 1A 42 B2 21
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D F6 CF
+05 44 45 46 45 52 B0 12 6C CF BA 40 30 40 FC FF
+BA 40 0C D0 FE FF 3B 3C B4 CE 01 3A B0 12 6C CF
+BA 40 87 12 FC FF A2 83 C4 21 B2 43 B6 21 82 4F
+B4 21 30 4D 2A D0 81 3B 82 93 B6 21 24 27 87 12
+34 C4 2A C4 AA CC 50 CF A2 CE 2A C4 5E D0 3D 41
+B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D BC CC 06 4D
+41 52 4B 45 52 00 B0 12 6C CF BA 40 84 12 FC FF
+BA 40 5C D0 FE FF 9A 42 C6 21 00 00 28 83 8A 48
+02 00 A2 52 C4 21 18 42 AE 21 19 42 B0 21 A8 49
+FE FF 89 48 00 00 30 4D F0 CE 82 49 46 00 2F 83
+8F 4E 00 00 1E 42 C4 21 A2 52 C4 21 BE 40 E0 C5
+00 00 2E 53 30 4D 10 D0 84 45 4C 53 45 00 A2 52
+C4 21 1A 42 C4 21 BA 40 DC C5 FC FF 8E 4A 00 00
+2A 83 0E 4A 30 4D 04 CB 84 54 48 45 4E 00 9E 42
+C4 21 00 00 3E 4F 30 4D DC CF 85 42 45 47 49 4E
+30 40 C2 C7 E8 D0 85 55 4E 54 49 4C 39 40 E0 C5
+A2 52 C4 21 1A 42 C4 21 8A 49 FC FF 8A 4E FE FF
+3E 4F 30 4D 6A CE 85 41 47 41 49 4E 39 40 DC C5
+EF 3F A6 CF 85 57 48 49 4C 45 87 12 AE D0 78 C4
+2A C4 08 CF 86 52 45 50 45 41 54 00 87 12 2C D1
+EE D0 2A C4 C8 D0 82 44 4F 00 2F 83 8F 4E 00 00
+A2 53 C4 21 1E 42 C4 21 BE 40 F0 C5 FE FF A2 53
+00 20 1A 42 00 20 8A 43 00 00 30 4D 6E D0 84 4C
+4F 4F 50 00 39 40 12 C6 A2 52 C4 21 1A 42 C4 21
+8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83 00 20
+2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
+1E C8 85 2B 4C 4F 4F 50 39 40 00 C6 E5 3F 7E D1
+85 4C 45 41 56 45 1A 42 C4 21 BA 40 22 C6 00 00
+BA 40 DC C5 02 00 B2 50 06 00 C4 21 A2 53 00 20
+2A 52 19 42 00 20 89 4A 00 00 30 4D C0 D1 04 4D
+4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
+08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
+30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
+FA 23 30 4D 34 D1 0A 56 4F 43 41 42 55 4C 41 52
+59 00 87 12 E4 CF 34 C4 10 00 34 C4 00 00 F0 C5
+34 C4 00 00 AA CC 12 C6 40 D2 C2 C7 34 C4 C6 21
+44 C4 F2 C4 AA CC FA C4 FC CF 34 C4 CA 21 FA C4
+2A C4 80 CE 05 46 4F 52 54 48 84 12 5A D2 16 D7
+EC D6 06 E2 D0 E1 20 E0 B2 D1 4E DF 86 DE 4E D3
+14 E2 3E E1 A4 DE 46 C5 10 E0 AA CE 9A DE 00 00
+26 D1 04 41 4C 53 4F 00 3A 40 0E 00 39 40 CA 21
+38 40 CC 21 B6 3F 22 CF 08 50 52 45 56 49 4F 55
+53 00 3A 40 0E 00 39 40 CC 21 38 40 CA 21 A3 3F
+26 CA 04 4F 4E 4C 59 00 82 43 CC 21 30 4D 56 D1
+0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 21
+C8 21 30 4D 6A D2 C8 D2 DC D2 EC D2 3A 4E 82 4A
+C6 21 2E 4E 82 4E C4 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D A8 D2
+09 50 57 52 5F 53 54 41 54 45 84 12 E4 D2 D0 D6
+38 E2 44 D1 09 52 53 54 5F 53 54 41 54 45 92 42
+0E 18 2E D3 92 42 0C 18 30 D3 EF 3F 20 D3 08 50
+57 52 5F 48 45 52 45 00 92 42 C6 21 2E D3 92 42
+C4 21 30 D3 30 4D 34 D3 08 52 53 54 5F 48 45 52
+45 00 92 42 C6 21 0E 18 92 42 C4 21 0C 18 EC 3F
+B2 40 1A D5 7E D5 B2 40 9E C8 92 C8 B2 40 10 C9
+20 C9 B2 40 4C C9 60 C9 B2 40 16 C8 24 C8 82 43
+92 DD 82 43 9E DD 82 43 AA DD 82 43 DA DD 82 43
+E6 DD 82 43 F2 DD B2 40 0A 00 DA 21 30 41 26 D2
+04 57 49 50 45 00 39 40 80 FF B9 43 00 00 29 53
+39 90 E2 FF FA 23 B0 12 80 D3 B2 40 38 E2 0C 18
+B2 40 D0 D6 0E 18 AB 3F FA D0 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 46 D0 86 5B 54 48
+45 4E 5D 00 30 4D 1E 83 30 4D 1C D4 86 5B 45 4C
+53 45 5D 00 87 12 34 C4 01 00 90 C6 3E CA F2 CC
+44 C4 E0 C5 A2 D4 8A C4 8A C4 BE C9 04 5B 49 46
+5D 00 F2 D3 EA C5 60 D4 FC CA 2C C5 DC C5 96 D4
+8A C4 8A C4 BE C9 06 5B 45 4C 53 45 5D 00 F2 D3
+EA C5 84 D4 FC CA 26 D4 44 C4 E0 C5 80 D4 2C C5
+DC C5 96 D4 BE C9 06 5B 54 48 45 4E 5D 00 F2 D3
+EA C5 96 D4 26 D4 54 C4 EA C5 9E D4 2A C4 DC C5
+3A D4 FC CA BE C9 03 0D 6B 6F A2 C9 6A C9 5A C6
+44 C4 64 C6 30 C8 B8 D4 82 43 C2 21 82 4E BE 21
+B2 4F C0 21 3E 4F 3D 40 3A D4 30 4D 2C D4 84 5B
+49 46 5D 00 0E 93 3E 4F AD 27 30 4D CE D4 8B 5B
+55 4E 44 45 46 49 4E 45 44 5D 87 12 90 C6 3E CA
+9C CA 6C C4 86 C5 2A C4 DE D4 89 5B 44 45 46 49
+4E 45 44 5D 87 12 90 C6 3E CA 9C CA 6C C4 2A C4
+AA D0 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+BE C9 06 0D 1B 5B 37 6D 23 00 A2 C9 AC C7 BE C9
+27 20 46 61 73 74 46 6F 72 74 68 20 56 32 2E 30
+20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
+68 6F 6F 72 65 6E 73 20 A2 C9 34 C4 30 FF C2 C7
+28 C5 78 C7 BE C9 0B 62 79 74 65 73 20 66 72 65
+65 20 16 CE C0 D3 04 57 41 52 4D 00 30 40 1A D5
+EA D3 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
+88 5A CC 01 B2 D0 00 08 04 02 B2 D3 06 02 B2 43
+02 02 B2 40 00 01 24 02 B2 40 FF FE 22 02 B2 D0
+FF FE 26 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02
+B2 D3 66 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01 80 01
+B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40 40 00
+B2 40 00 06 2A 02 82 43 88 01 92 D2 5E 01 08 18
+A2 93 08 18 01 24 59 03 38 43 18 83 FE 23 19 83
+FB 23 3A 40 8E D5 39 40 E2 FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 EC FF B2 40 18 00 0A 18 31 40
+E0 20 3F 40 80 20 37 40 00 C4 36 40 BC C4 35 40
+08 C4 34 40 14 C4 B2 40 0A 00 DA 21 B2 43 AC 21
+92 C3 30 01 18 42 08 18 D2 B3 00 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 00 05 B2 42 06 05
+B2 40 A1 F7 08 05 F2 D0 03 00 0A 02 92 C3 00 05
+92 D3 1A 05 3D 40 9E D6 18 42 08 18 38 90 0A 00
+9A 26 38 90 16 00 97 2E 28 93 51 22 46 26 7C D5
+92 D2 09 41 53 53 45 4D 42 4C 45 52 84 12 5A D2
+F6 DC A2 DD AA DC F6 DD 70 DC 2A DD 74 D9 00 00
+66 DC 16 DD C8 DC 06 DD 84 DA 00 00 00 00 08 DE
+8E D2 12 D5 85 48 49 32 4C 4F 87 12 C2 C7 C2 D0
+AA CC A2 CE 98 D2 AC D6 2A C4 82 D5 04 43 4F 44
+45 00 B0 12 6C CF A2 82 C4 21 87 12 3E D0 DC C5
+E4 D6 00 00 07 45 4E 44 43 4F 44 45 87 12 B2 D2
+50 CF 2A C4 A2 D6 03 41 53 4D 92 42 C8 21 B8 21
+B2 40 B0 D6 C8 21 E5 3F 04 D7 06 45 4E 44 41 53
+4D 00 92 42 B8 21 C8 21 E9 3F 00 00 05 43 4F 4C
+4F 4E 1A 42 C4 21 BA 40 87 12 00 00 A2 53 C4 21
+B2 43 B6 21 30 40 B2 D2 A4 C6 05 4C 4F 32 48 49
+1A 42 C4 21 BA 40 B0 12 00 00 BA 40 2A C4 02 00
+A2 52 C4 21 ED 3F 38 40 BE 21 39 48 2A 48 09 5A
+1A 52 C2 21 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
+2A 88 82 4A C2 21 30 4D B0 12 2A C4 3E CA 9C CA
+EA C5 AC D7 96 CB E0 C5 90 CE CE D7 AE D7 29 4E
+39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
+1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
+19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 40 29 00
+12 12 C2 21 92 53 C2 21 B0 12 2A C4 3E CA 96 CB
+E0 C5 00 D8 F6 D7 21 53 3E 90 10 00 BB 2D 30 41
+02 D8 B2 41 C2 21 22 D3 30 41 87 12 90 C6 76 D7
+12 D8 82 43 BC 21 92 42 C4 21 BA 21 A2 53 C4 21
+0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 21
+B0 12 98 D7 0E 93 04 20 B2 40 00 03 BC 21 27 3C
+1E 93 04 20 B2 40 10 03 BC 21 21 3C 2E 93 04 20
+B2 40 20 03 BC 21 1B 3C 2E 92 04 20 B2 40 20 02
+BC 21 15 3C 3E 92 04 20 B2 40 30 02 BC 21 0F 3C
+3E 93 04 20 B2 40 30 03 BC 21 09 3C B2 40 30 00
+BC 21 19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 4F
+3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
+BC 21 92 53 C2 21 30 12 82 D8 76 3F FA 90 40 00
+00 00 1A 20 B2 40 20 00 BC 21 92 53 C2 21 B0 12
+E0 D7 0E 20 B2 50 10 00 BC 21 3E 40 2B 00 B0 12
+E0 D7 32 24 92 92 BE 21 C2 21 02 24 92 53 C2 21
+8E 10 82 5E BC 21 D3 3F B0 12 E0 D7 F9 23 B2 50
+10 00 BC 21 3E 40 28 00 B0 12 98 D7 30 12 D2 D8
+67 3F 87 12 90 C6 76 D7 0A D9 FE 90 26 00 00 00
+3E 40 20 00 04 20 B2 50 82 00 BC 21 C2 3F B0 12
+E0 D7 DF 23 B2 50 80 00 BC 21 3E 40 28 00 B0 12
+98 D7 B0 12 D0 D7 D5 23 3D 40 90 CE 30 4D 8A C8
+04 52 45 54 49 00 87 12 34 C4 00 13 AA CC 2A C4
+34 C4 2C 00 0A D8 02 D9 5A D9 2E 4E 1E D2 BC 21
+19 42 BA 21 92 3F 5A D7 03 4D 4F 56 84 12 50 D9
+00 40 68 D9 05 4D 4F 56 2E 42 84 12 50 D9 40 40
+00 00 03 41 44 44 84 12 50 D9 00 50 82 D9 05 41
+44 44 2E 42 84 12 50 D9 40 50 8E D9 04 41 44 44
+43 00 84 12 50 D9 00 60 9C D9 06 41 44 44 43 2E
+42 00 84 12 50 D9 40 60 40 D9 04 53 55 42 43 00
+84 12 50 D9 00 70 BA D9 06 53 55 42 43 2E 42 00
+84 12 50 D9 40 70 C8 D9 03 53 55 42 84 12 50 D9
+00 80 D8 D9 05 53 55 42 2E 42 84 12 50 D9 40 80
+3C D7 03 43 4D 50 84 12 50 D9 00 90 F2 D9 05 43
+4D 50 2E 42 84 12 50 D9 40 90 2A D7 04 44 41 44
+44 00 84 12 50 D9 00 A0 0C DA 06 44 41 44 44 2E
+42 00 84 12 50 D9 40 A0 FE D9 03 42 49 54 84 12
+50 D9 00 B0 2A DA 05 42 49 54 2E 42 84 12 50 D9
+40 B0 36 DA 03 42 49 43 84 12 50 D9 00 C0 44 DA
+05 42 49 43 2E 42 84 12 50 D9 40 C0 50 DA 03 42
+49 53 84 12 50 D9 00 D0 5E DA 05 42 49 53 2E 42
+84 12 50 D9 40 D0 00 00 03 58 4F 52 84 12 50 D9
+00 E0 78 DA 05 58 4F 52 2E 42 84 12 50 D9 40 E0
+AA D9 03 41 4E 44 84 12 50 D9 00 F0 92 DA 05 41
+4E 44 2E 42 84 12 50 D9 40 F0 90 C6 0A D8 B0 DA
+1A 42 BC 21 B2 F0 70 00 BC 21 8A 10 3A F0 0F 00
+82 DA BC 21 4A 3F E4 D9 03 52 52 43 84 12 AA DA
+00 10 C8 DA 05 52 52 43 2E 42 84 12 AA DA 40 10
+D4 DA 04 53 57 50 42 00 84 12 AA DA 80 10 E2 DA
+03 52 52 41 84 12 AA DA 00 11 F0 DA 05 52 52 41
+2E 42 84 12 AA DA 40 11 FC DA 03 53 58 54 84 12
+AA DA 80 11 00 00 04 50 55 53 48 00 84 12 AA DA
+00 12 16 DB 06 50 55 53 48 2E 42 00 84 12 AA DA
+40 12 6A DA 04 43 41 4C 4C 00 84 12 AA DA 80 12
+34 C4 2C 00 0A D8 02 D9 4A DB 59 42 BC 21 5A 42
+BD 21 82 4A BC 21 BE 90 00 15 00 00 02 20 0A 89
+02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
+1A 53 0E 4A 87 12 AC C7 BE C9 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 10 CE 24 DB 05 50 55 53
+48 4D 84 12 40 DB 00 15 8C DB 04 50 4F 50 4D 00
+84 12 40 DB 00 17 90 C6 76 D7 AC DB 82 43 BC 21
+92 42 C4 21 BA 21 A2 53 C4 21 92 53 C2 21 3E 40
+2C 00 B0 12 2A C4 3E CA 96 CB E0 C5 90 CE 02 D9
+D2 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
+6F 3F 0A DB 04 52 52 43 4D 00 84 12 A6 DB 50 00
+E4 DB 04 52 52 41 4D 00 84 12 A6 DB 50 01 F2 DB
+04 52 4C 41 4D 00 84 12 A6 DB 50 02 00 DC 04 52
+52 55 4D 00 84 12 A6 DB 50 03 85 12 00 3C 0E DC
+03 53 3E 3D 85 12 00 38 20 DC 02 53 3C 00 85 12
+00 34 9A DB 03 30 3E 3D 85 12 00 30 34 DC 02 30
+3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
+48 DC 03 55 3E 3D 85 12 00 28 3E DC 03 30 3C 3E
+85 12 00 24 5C DC 02 30 3D 00 85 12 00 20 96 C8
+02 49 46 00 1A 42 C4 21 8A 4E 00 00 A2 53 C4 21
+0E 4A 30 4D 52 DC 04 54 48 45 4E 00 1A 42 C4 21
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+68 2F 88 DA 00 00 30 4D 1A DA 04 45 4C 53 45 00
+1A 42 C4 21 BA 40 00 3C 00 00 A2 53 C4 21 2F 83
+8F 4A 00 00 E3 3F 86 DC 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C4 21 2A 83 0A 89 0A 11 3A 90
+00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C4 21 30 4D 9E DA 05 41 47 41 49 4E 87 12 1A DC
+CE DC 2A C4 00 00 05 57 48 49 4C 45 87 12 74 DC
+78 C4 2A C4 2A DC 06 52 45 50 45 41 54 00 87 12
+1A DC CE DC 8C DC 2A C4 00 00 03 4A 4D 50 87 12
+82 CE 1A DC CE DC 2A C4 3E B0 00 10 03 20 3E E0
+00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
+30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
+87 12 38 DD 82 CE 78 C4 CE DC 2A C4 6E DD 3D 41
+08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 21 00 00
+30 4D 88 43 00 00 A4 3F 34 DB 03 42 57 31 84 12
+6C DD 00 00 8A DD 03 42 57 32 84 12 6C DD 00 00
+96 DD 03 42 57 33 84 12 6C DD 00 00 AE DD 3D 41
+1A 42 C4 21 28 4E 08 93 08 20 BA 4F 00 00 A2 53
+C4 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
+00 00 03 46 57 31 84 12 AC DD 00 00 D2 DD 03 46
+57 32 84 12 AC DD 00 00 DE DD 03 46 57 33 84 12
+AC DD 00 00 EA DD 04 47 4F 54 4F 00 87 12 1A DC
+82 CE A0 CC 2A C4 5A DD 05 3F 47 4F 54 4F 87 12
+38 DD 82 CE A0 CC 2A C4 FA D4 09 7B 55 54 49 4C
+49 54 59 7D 30 4D C2 D2 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4 3C 00
+1E C9 AC C7 34 C4 08 00 1E C9 34 C4 3E 00 1E C9
+6A C9 8A C4 8A C4 C4 C5 EA C5 72 DE 62 C4 62 C4
+2A C4 F0 C5 28 C6 F2 C4 78 C7 34 C4 02 00 00 C6
+74 DE 2A C4 28 DE 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 20 D3 3F 2C CB 01 3F 2E 4E 30 40
+78 C7 76 D5 05 57 4F 52 44 53 87 12 5E C9 34 C4
+03 00 80 C9 34 C4 CA 21 F2 C4 50 C6 34 C4 10 00
+44 C4 20 C5 F4 D1 34 C4 00 00 44 C4 34 C4 10 00
+44 C4 20 C5 34 C4 00 00 F0 C5 44 C4 28 C6 50 C6
+20 C5 F2 C4 D2 C5 E0 C5 F8 DE 62 C4 62 C4 28 C6
+44 C4 50 C6 20 C5 F2 C4 34 C4 02 00 00 C6 DA DE
+54 C4 E0 C5 3A DF 44 C4 34 C4 02 00 28 C5 F2 C4
+9A C4 50 C6 20 C5 FA C4 44 C4 F2 CC 34 C4 7F 00
+36 C5 A2 C9 08 C5 34 C4 0F 00 36 C5 34 C4 10 00
+78 C4 28 C5 80 C9 DC C5 C6 DE 62 C4 2A C4 EE D1
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D 40 DF 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D 06 D1 03 55 2E 52
+87 12 B0 C4 9A C6 34 C4 00 00 F6 C6 28 C7 42 C7
+BC C4 8A C4 28 C5 34 C4 00 00 44 DF 80 C9 A2 C9
+2A C4 D0 D2 04 44 55 4D 50 00 0D 12 12 12 DA 21
+B2 40 10 00 DA 21 2E 5F B0 12 2A C4 78 C4 8A C4
+8A C4 78 C7 34 C4 01 00 28 C5 78 C7 34 C4 F0 FF
+36 C5 F0 C5 5E C9 28 C6 34 C4 07 00 60 DF 6A C9
+28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5
+34 C4 03 00 60 DF 12 C6 CC DF 6A C9 6A C9 28 C6
+34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4
+7E 00 52 DF 90 C6 44 DF 1E C9 12 C6 EA DF 34 C4
+10 00 00 C6 B4 DF BC C4 7A C6 FA C4 2A C4 1A DE
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D D4 D6
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 21 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 AA 21
+3E 4F 30 4D 64 D2 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D 46 E0 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D 54 E0 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 66 E0 03 46 23 53 2F 83 8F 4E
+00 00 2E 42 B2 90 0A 00 DA 21 01 20 1E 53 1E 15
+3D 40 10 E1 0C 43 0C 12 1E 42 DA 21 30 40 08 CB
+12 E1 2D 83 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 3C 41 CC 4E 88 21 1C 53 1C 91 02 00 EB 2B
+1D 17 8F 43 00 00 39 40 88 21 76 3F 5C DF 04 55
+44 4D 2A 00 0D 12 37 15 1D 4F 04 00 1B 4F 02 00
+2A 4F 04 43 05 43 8F 43 04 00 8F 43 02 00 06 43
+07 43 19 43 08 43 09 93 02 20 0E B8 01 3C 0A B9
+06 24 8F 5D 04 00 8F 6B 02 00 06 64 07 65 0D 5D
+0B 6B 04 64 05 65 09 59 08 68 ED 2B 8F 46 00 00
+0E 47 34 17 3D 41 30 4D E8 E0 02 46 2A 00 1C 4F
+02 00 0C EE BF B0 40 1F 02 00 08 24 BF E3 02 00
+BF E3 04 00 9F 53 04 00 8F 63 02 00 87 12 6E C5
+44 E1 C4 E1 3D 41 3E 4F BF 4F 00 00 83 3F 9A E1
+02 46 2E 00 87 12 9A C6 44 C4 B0 C4 6E C5 78 C4
+EC E0 34 C4 2C 00 58 C7 28 C7 BC C4 66 C7 42 C7
+A2 C9 6A C9 2A C4 68 D3 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 84 DF 03 44 3E 46 2E 4F 8F 43 00 00
+30 4D F8 E1 09 32 43 4F 4E 53 54 41 4E 54 87 12
+E4 CF 78 C4 AA CC AA CC FC CF 2C E2 2F 83 BF 4E
+00 00 2E 4E 3D 41 30 4D
 @FFE2
-B4 D4 B4 D4 B4 D4 B4 D4 B4 D4 8A C8 B4 D4 B4 D4
-B4 D4 B4 D4 B4 D4 B4 D4 B4 D4 B4 D4 B4 D4
+8E D5 8E D5 8E D5 8E D5 8E D5 A4 C8 8E D5 8E D5
+8E D5 8E D5 8E D5 8E D5 8E D5 8E D5 8E D5
 q
index 9fcf3fb..c2a8868 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A C8 80 3E 00 24 05 00 18 00 1C DF EC D5
-4A C8 5C C8 00 00 00 00
+10 00 A4 C8 80 3E 00 24 05 00 18 00 3A E2 D2 D6
+64 C8 76 C8 00 00 00 00
 @21AA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,399 +51,449 @@ AC C4 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 21 60 C6 04 42 41 53 45 00 85 12 DA 21 C8 C4
 05 53 54 41 54 45 85 12 B6 21 74 C6 02 42 4C 00
 85 12 20 00 B4 C5 02 3C 23 00 B2 40 AA 21 AA 21
-30 4D 8C C6 01 23 5B 42 DA 21 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 C4 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 21 18 42
-AA 21 C8 4A 00 00 30 4D A4 C6 02 23 53 00 87 12
-A6 C6 04 C7 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA C6 02 23 3E 00 9F 42 AA 21 00 00 3E 40
-AA 21 2E 8F 30 4D 26 C6 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 C6 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 C6 02 55 2E 00 87 12
-9A C6 34 C4 00 00 FE C6 18 C7 70 C9 38 C9 2A C4
-68 C5 02 44 2E 00 87 12 9A C6 78 C4 8A C4 6E C5
-FE C6 9A C4 3C C7 18 C7 70 C9 38 C9 2A C4 50 C5
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 C7 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 21 30 4D 5C C5 05 41 4C 4C 4F 54 82 5E C4 21
-3E 4F 30 4D 14 C7 02 43 2C 00 1A 42 C4 21 CA 4E
-00 00 92 53 C4 21 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A C8 92 B3 1C 05 FD 27 1E 42 0C 05 B0 12
-5C C8 30 4D 92 C7 05 28 4B 45 59 29 18 42 0C 05
-EC 3F 38 C6 03 4B 45 59 30 40 EC C7 A6 C7 06 41
-43 43 45 50 54 00 30 40 16 C8 E6 C7 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 C8 3B 40 92 C8 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC C8 92 B3 1C 05 05 24 18 42 0C 05 38 90 0A 00
-04 20 21 53 39 40 76 C8 4D 15 B2 40 11 00 0E 05
-F2 C2 03 02 A2 B3 1C 05 FD 27 30 41 B2 40 13 00
-0E 05 F2 D2 03 02 A2 B3 1C 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 C8 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-0C 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 0E 05 A2 B3 1C 05 FD 27 30 4D BE C8 2D 83
-92 B3 1C 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C C8 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 C7 04 45 4D 49 54 00 30 40 DE C8
-E6 C8 04 45 43 48 4F 00 B2 40 82 48 B0 C8 30 4D
-80 C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 C8
-30 4D D6 C8 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 C7 02 43 52 00 30 40 1A C9
-36 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 C9 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A C9 EF 3F 5C C9 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A C7 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 C5
-28 C6 08 C5 EC C8 12 C6 80 C9 2A C4 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21 30 4D
-A2 C9 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 21
-30 4D 46 C9 82 53 22 00 87 12 34 C4 8C C9 40 CC
-BC C9 34 C4 22 00 0C CA AA C9 DC C9 3D 41 6E 4E
-1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63 C4 21
-30 4D 02 C9 82 2E 22 00 87 12 C8 C9 34 C4 70 C9
-40 CC 2A C4 00 00 04 57 4F 52 44 00 3C 40 BE 21
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 21
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A C9 03 55 4D 2A 2C 4F 0A 43 08 43 0B 43 19 43
-0E B9 02 24 08 5C 0B 6A 0C 5C 0A 6A 09 59 F8 2B
-8F 48 00 00 0E 4B 30 4D 6A C6 07 3E 4E 55 4D 42
-45 52 2C 4F 6C 4C 7C 80 30 00 7C 90 0A 00 02 28
-7C 80 07 00 1C 92 DA 21 23 2C 2E 15 2F 83 9F 4F
-04 00 00 00 1E 42 DA 21 3D 40 2E CB D4 3F 30 CB
-2F 12 9F 4F 06 00 00 00 1E 42 DA 21 3D 40 42 CB
-CA 3F 44 CB 3E 51 BF 51 00 00 0E 63 AF 4F 06 00
-8F 4E 04 00 1D 17 2F 53 9F 53 00 00 1E 83 D1 23
-30 4D 03 12 0D 12 12 12 DA 21 32 C0 00 02 6D 4E
-0D 5E 0C 4E 7A 40 2E 00 0D 9C 0A 28 7A 9C FC 23
-32 D0 00 02 FC 4C FE FF 0D 9C FC 2F DE 83 00 00
-3D 40 EE CB 3F 82 8F 4E 06 00 8F 43 04 00 8F 43
-02 00 0A 4E 7E 4A 8F 4A 00 00 79 4A 79 90 2D 00
-17 2C 79 80 24 00 04 20 B2 40 10 00 DA 21 0A 3C
-59 83 03 20 A2 43 DA 21 05 3C 69 53 9A 23 B2 40
-0A 00 DA 21 8F 4A 00 00 1E 83 79 4A 79 90 2D 00
-90 23 B1 43 04 00 8F 4A 00 00 1E 83 8A 3F F0 CB
-2F 53 0E 93 2C 17 82 4C DA 21 03 24 2F 52 0E F3
-30 4D 9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20
-3E E3 BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63
-00 00 32 B0 00 02 01 20 2F 53 30 4D F2 C8 07 45
-58 45 43 55 54 45 0A 4E 3E 4F 00 4A 26 C5 01 2C
-1A 42 C4 21 A2 53 C4 21 8A 4E 00 00 3E 4F 30 4D
-3E CC 87 4C 49 54 45 52 41 4C 82 93 B6 21 0F 24
-1A 42 C4 21 A2 52 C4 21 BA 40 34 C4 00 00 8A 4E
-02 00 3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D
-B2 C9 05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00
-5E 4E FF FF 30 4D 82 4E BE 21 B2 4F C0 21 3E 4F
-82 43 C2 21 87 12 90 C6 0C CA AC CC 3D 40 B8 CC
-DC 22 3D 41 3E 4F 30 4D BA CC 0A 4E 3E 4F 3D 40
-D0 CC 4F 27 3D 40 A6 CC 1A E2 B6 21 B9 27 B3 23
-D2 CC 3E 4F 3D 40 A6 CC C0 23 DE 53 00 00 68 4E
-08 5E F8 40 3F 00 00 00 3D 40 00 CF CD 3F 2E CC
-08 45 56 41 4C 55 41 54 45 00 39 40 BE 21 3C 49
-3B 49 3A 49 3D 15 B0 12 2A C4 96 CC 0E CD B2 41
-C2 21 B2 41 C0 21 B2 41 BE 21 3D 41 30 4D 4C C6
-04 51 55 49 54 00 31 40 E0 20 B2 40 00 20 00 20
-82 43 B6 21 82 43 08 18 B0 12 2A C4 8C C9 03 0D
-6F 6B 70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 38 C9
-96 CC DE C4 92 C5 8C C9 0D 73 74 61 63 6B 20 65
-6D 70 74 79 21 20 A0 CD 34 C4 30 FF 98 C7 D2 C5
-8C C9 0B 46 52 41 4D 20 66 75 6C 6C 21 20 A0 CD
-86 C6 F2 C4 E0 C5 3C CD 8C C9 03 0D 20 20 DC C5
-42 CD FE C7 05 41 42 4F 52 54 3F 40 80 20 C3 3F
-8F 93 02 00 91 26 B2 40 82 48 B0 C8 B0 12 B4 D2
-A2 B3 1C 05 FD 27 B2 40 11 00 0E 05 F2 C2 03 02
-92 C3 1C 05 38 40 A0 AA 39 42 03 43 19 83 FD 23
-18 83 FA 23 92 B3 1C 05 F3 23 87 12 8C C9 04 1B
-5B 37 6D 00 70 C9 70 C9 8C C9 04 1B 5B 30 6D 00
-70 C9 C2 D1 04 D2 0A D2 52 D2 AA C9 9A CD 94 CD
-86 41 42 4F 52 54 22 00 87 12 C8 C9 34 C4 A0 CD
-40 CC 2A C4 64 CA 01 27 87 12 90 C6 0C CA 6A CA
-E0 C5 26 CE 2A C4 DA CC 96 C6 81 5C 92 42 BE 21
-C2 21 30 4D 00 00 81 5B 82 43 B6 21 30 4D 2A CE
-01 5D B2 43 B6 21 30 4D 36 CE 83 5B 27 5D 87 12
-18 CE 34 C4 34 C4 40 CC 40 CC 2A C4 BE 4F 02 00
-3E 4F 30 4D 14 C9 82 49 53 00 87 12 86 C6 F2 C4
-E0 C5 7E CE 4E CE 34 C4 5C CE 40 CC 2A C4 18 CE
-5C CE 2A C4 66 CE 09 49 4D 4D 45 44 49 41 54 45
-1A 42 AE 21 FA D0 80 00 00 00 30 4D C4 C9 87 52
-45 43 55 52 53 45 19 42 C4 21 99 42 B2 21 00 00
-A2 53 C4 21 30 4D 20 CD 88 50 4F 53 54 50 4F 4E
-45 00 87 12 90 C6 0C CA 6A CA 54 C4 E0 C5 26 CE
-92 C5 E0 C5 E2 CE 34 C4 34 C4 40 CC 40 CC 34 C4
-40 CC 40 CC 2A C4 82 9F B4 21 A0 24 87 12 8C C9
-0F 73 74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21
-A6 CD 87 12 E8 C9 90 C6 0C CA 0C CF 08 4E 7A 4E
-5A D3 5A 53 0A 58 19 42 C8 21 6E 4E 3E F0 1E 00
-09 5E 82 48 AE 21 82 49 B0 21 82 4A B2 21 2A 52
-82 4A C4 21 3E 4F 3D 41 30 41 06 CA 08 56 41 52
-49 41 42 4C 45 00 B0 12 02 CF BA 40 86 12 FC FF
-71 3C 82 CC 08 43 4F 4E 53 54 41 4E 54 00 B0 12
-02 CF BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C
-54 CF 06 43 52 45 41 54 45 00 B0 12 02 CF BA 40
-85 12 FC FF 8A 4A FE FF 55 3C F0 CC 05 44 4F 45
-53 3E 1A 42 B2 21 BA 40 84 12 00 00 8A 4D 02 00
-3D 41 30 4D 8C CF 05 44 45 46 45 52 B0 12 02 CF
-BA 40 30 40 FC FF BA 40 A2 CF FE FF 3B 3C 4A CE
-01 3A B0 12 02 CF BA 40 87 12 FC FF A2 83 C4 21
-B2 43 B6 21 82 4F B4 21 30 4D C0 CF 81 3B 82 93
-B6 21 24 27 87 12 34 C4 2A C4 40 CC E6 CE 38 CE
-2A C4 F4 CF 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F
-32 3D 52 CC 06 4D 41 52 4B 45 52 00 B0 12 02 CF
-BA 40 84 12 FC FF BA 40 F2 CF FE FF 9A 42 C6 21
-00 00 28 83 8A 48 02 00 A2 52 C4 21 18 42 AE 21
-19 42 B0 21 A8 49 FE FF 89 48 00 00 30 4D 86 CE
-82 49 46 00 2F 83 8F 4E 00 00 1E 42 C4 21 A2 52
-C4 21 BE 40 E0 C5 00 00 2E 53 30 4D A6 CF 84 45
-4C 53 45 00 A2 52 C4 21 1A 42 C4 21 BA 40 DC C5
-FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D D2 CA 84 54
-48 45 4E 00 9E 42 C4 21 00 00 3E 4F 30 4D 72 CF
-85 42 45 47 49 4E 30 40 98 C7 7E D0 85 55 4E 54
-49 4C 39 40 E0 C5 A2 52 C4 21 1A 42 C4 21 8A 49
-FC FF 8A 4E FE FF 3E 4F 30 4D 00 CE 85 41 47 41
-49 4E 39 40 DC C5 EF 3F 3C CF 85 57 48 49 4C 45
-87 12 44 D0 78 C4 2A C4 9E CE 86 52 45 50 45 41
-54 00 87 12 C2 D0 84 D0 2A C4 5E D0 82 44 4F 00
-2F 83 8F 4E 00 00 A2 53 C4 21 1E 42 C4 21 BE 40
-F0 C5 FE FF A2 53 00 20 1A 42 00 20 8A 43 00 00
-30 4D 04 D0 84 4C 4F 4F 50 00 39 40 12 C6 A2 52
-C4 21 1A 42 C4 21 8A 49 FC FF 8A 4E FE FF 1E 42
-00 20 A2 83 00 20 2E 4E 0E 93 03 24 8E 4A 00 00
-F6 3F 3E 4F 30 4D F4 C7 85 2B 4C 4F 4F 50 39 40
-00 C6 E5 3F 14 D1 85 4C 45 41 56 45 1A 42 C4 21
-BA 40 22 C6 00 00 BA 40 DC C5 02 00 B2 50 06 00
-C4 21 A2 53 00 20 2A 52 19 42 00 20 89 4A 00 00
-30 4D 56 D1 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F
-3E 4F 0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00
-18 53 1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83
-E8 49 00 00 1A 83 FA 23 30 4D 34 C4 CA 21 FA C4
-2A C4 84 12 BA D1 32 D6 08 D6 A0 DE 16 CE F0 D5
-48 D1 6A DE A2 DD 76 D2 90 D2 78 DE C0 DD 46 C5
-36 DD 40 CE B6 DD 00 00 3A 40 0E 00 39 40 CA 21
-38 40 CC 21 D9 3F 3A 40 0E 00 39 40 CC 21 38 40
-CA 21 CC 3F 82 43 CC 21 30 4D 92 42 CA 21 C8 21
-30 4D 14 D2 3A 4E 82 4A C6 21 2E 4E 82 4E C4 21
-3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98
-FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23
-3E 4F 3D 41 30 4D B8 CE 09 50 57 52 5F 53 54 41
-54 45 84 12 12 D2 EC D5 1C DF DA D0 09 52 53 54
-5F 53 54 41 54 45 92 42 0E 18 56 D2 92 42 0C 18
-58 D2 EF 3F 48 D2 08 50 57 52 5F 48 45 52 45 00
-92 42 C6 21 56 D2 92 42 C4 21 58 D2 30 4D 5C D2
-08 52 53 54 5F 48 45 52 45 00 92 42 C6 21 0E 18
-92 42 C4 21 0C 18 EC 3F B2 40 42 D4 A4 D4 B2 40
-84 C8 78 C8 B2 40 DE C8 EE C8 B2 40 1A C9 2E C9
-B2 40 EC C7 FA C7 82 43 AE DC 82 43 BA DC 82 43
-C6 DC 82 43 F6 DC 82 43 02 DD 82 43 0E DD B2 40
-0A 00 DA 21 30 41 CA D0 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 E2 FF FA 23 B0 12
-A8 D2 B2 40 1C DF 0C 18 B2 40 EC D5 0E 18 AB 3F
-90 D0 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D DC CF 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D 44 D3 86 5B 45 4C 53 45 5D 00 87 12 34 C4
-01 00 90 C6 0C CA 88 CC 44 C4 E0 C5 CA D3 8A C4
-8A C4 8C C9 04 5B 49 46 5D 00 1A D3 EA C5 88 D3
-CA CA 2C C5 DC C5 BE D3 8A C4 8A C4 8C C9 06 5B
-45 4C 53 45 5D 00 1A D3 EA C5 AC D3 CA CA 4E D3
-44 C4 E0 C5 A8 D3 2C C5 DC C5 BE D3 8C C9 06 5B
-54 48 45 4E 5D 00 1A D3 EA C5 BE D3 4E D3 54 C4
-EA C5 C6 D3 2A C4 DC C5 62 D3 CA CA 8C C9 03 0D
-6B 6F 70 C9 38 C9 5A C6 44 C4 64 C6 06 C8 E0 D3
-82 43 C2 21 82 4E BE 21 B2 4F C0 21 3E 4F 3D 40
-62 D3 30 4D 54 D3 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D F6 D3 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 90 C6 0C CA 6A CA 6C C4 86 C5 2A C4
-06 D4 89 5B 44 45 46 49 4E 45 44 5D 87 12 90 C6
-0C CA 6A CA 6C C4 2A C4 40 D0 06 28 57 41 52 4D
-29 00 1E 42 08 18 87 12 8C C9 05 0D 1B 5B 37 6D
-70 C9 82 C7 8C C9 27 20 46 61 73 74 46 6F 72 74
-68 20 56 31 36 32 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 C9
-34 C4 30 FF 98 C7 28 C5 4E C7 8C C9 0B 62 79 74
-65 73 20 66 72 65 65 20 AC CD E8 D2 04 57 41 52
-4D 00 30 40 42 D4 12 D3 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A CC 01 B2 D0 00 08 04 02
-B2 D3 06 02 B2 43 02 02 B2 40 00 01 24 02 B2 40
-FF FE 22 02 B2 D0 FF FE 26 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 40 A5 00 A1 01
-F2 40 10 00 A0 01 D2 43 A1 01 B2 40 00 A5 60 01
-B2 40 29 01 80 01 B2 40 0B 00 82 01 B2 40 E9 01
-84 01 39 40 40 00 B2 40 00 06 2A 02 82 43 88 01
-92 D2 5E 01 08 18 A2 93 08 18 01 24 59 03 38 43
-18 83 FE 23 19 83 FB 23 3A 40 B4 D4 39 40 E2 FF
-89 4A 00 00 29 53 FC 23 92 42 02 18 EC FF B2 40
-18 00 0A 18 31 40 E0 20 3F 40 80 20 37 40 00 C4
-36 40 BC C4 35 40 08 C4 34 40 14 C4 B2 40 0A 00
-DA 21 B2 43 AC 21 92 C3 30 01 18 42 08 18 D2 B3
-00 02 04 20 38 E3 18 53 82 48 08 18 B2 40 81 00
-00 05 B2 40 11 00 06 05 B2 40 00 4A 08 05 F2 D0
-03 00 0A 02 92 C3 00 05 92 D3 1A 05 3D 40 C6 D5
-18 42 08 18 38 90 0A 00 9A 26 38 90 16 00 97 2E
-28 93 51 22 46 26 A2 D4 84 12 BA D1 12 DC BE DC
-C6 DB 12 DD 8C DB 46 DC 90 D8 00 00 82 DB 32 DC
-E4 DB 22 DC A0 D9 00 00 00 00 24 DD E6 D1 3A D4
-85 48 49 32 4C 4F 87 12 98 C7 58 D0 40 CC 38 CE
-E8 D1 C8 D5 2A C4 A8 D4 04 43 4F 44 45 00 B0 12
-02 CF A2 82 C4 21 87 12 D4 CF DC C5 00 D6 00 00
-07 45 4E 44 43 4F 44 45 87 12 F6 D1 E6 CE 2A C4
-BC D0 03 41 53 4D 92 42 C8 21 B8 21 B2 40 CC D5
-C8 21 E5 3F 20 D6 06 45 4E 44 41 53 4D 00 92 42
-B8 21 C8 21 E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-C4 21 BA 40 87 12 00 00 A2 53 C4 21 B2 43 B6 21
-30 40 F6 D1 00 00 05 4C 4F 32 48 49 1A 42 C4 21
-BA 40 B0 12 00 00 BA 40 2A C4 02 00 A2 52 C4 21
-ED 3F 38 40 BE 21 39 48 2A 48 09 5A 1A 52 C2 21
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-C2 21 30 4D B0 12 2A C4 0C CA 6A CA EA C5 C8 D6
-62 CB E0 C5 26 CE EA D6 CA D6 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 C4 21
-A2 53 C4 21 89 4E 00 00 3E 40 29 00 12 12 C2 21
-92 53 C2 21 B0 12 2A C4 0C CA 62 CB E0 C5 1C D7
-12 D7 21 53 3E 90 10 00 BB 2D 30 41 1E D7 B2 41
-C2 21 22 D3 30 41 87 12 90 C6 92 D6 2E D7 82 43
-BC 21 92 42 C4 21 BA 21 A2 53 C4 21 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 C2 21 B0 12 B4 D6
-0E 93 04 20 B2 40 00 03 BC 21 27 3C 1E 93 04 20
-B2 40 10 03 BC 21 21 3C 2E 93 04 20 B2 40 20 03
-BC 21 1B 3C 2E 92 04 20 B2 40 20 02 BC 21 15 3C
-3E 92 04 20 B2 40 30 02 BC 21 0F 3C 3E 93 04 20
-B2 40 30 03 BC 21 09 3C B2 40 30 00 BC 21 19 42
-C4 21 A2 53 C4 21 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 BC 21 92 53
-C2 21 30 12 9E D7 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 BC 21 92 53 C2 21 B0 12 FC D6 0E 20
-B2 50 10 00 BC 21 3E 40 2B 00 B0 12 FC D6 32 24
-92 92 BE 21 C2 21 02 24 92 53 C2 21 8E 10 82 5E
-BC 21 D3 3F B0 12 FC D6 F9 23 B2 50 10 00 BC 21
-3E 40 28 00 B0 12 B4 D6 30 12 EE D7 67 3F 87 12
-90 C6 92 D6 26 D8 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 BC 21 C2 3F B0 12 FC D6 DF 23
-B2 50 80 00 BC 21 3E 40 28 00 B0 12 B4 D6 B0 12
-EC D6 D5 23 3D 40 26 CE 30 4D 70 C8 04 52 45 54
-49 00 87 12 34 C4 00 13 40 CC 2A C4 34 C4 2C 00
-26 D7 1E D8 76 D8 2E 4E 1E D2 BC 21 19 42 BA 21
-92 3F 76 D6 03 4D 4F 56 84 12 6C D8 00 40 84 D8
-05 4D 4F 56 2E 42 84 12 6C D8 40 40 00 00 03 41
-44 44 84 12 6C D8 00 50 9E D8 05 41 44 44 2E 42
-84 12 6C D8 40 50 AA D8 04 41 44 44 43 00 84 12
-6C D8 00 60 B8 D8 06 41 44 44 43 2E 42 00 84 12
-6C D8 40 60 5C D8 04 53 55 42 43 00 84 12 6C D8
-00 70 D6 D8 06 53 55 42 43 2E 42 00 84 12 6C D8
-40 70 E4 D8 03 53 55 42 84 12 6C D8 00 80 F4 D8
-05 53 55 42 2E 42 84 12 6C D8 40 80 58 D6 03 43
-4D 50 84 12 6C D8 00 90 0E D9 05 43 4D 50 2E 42
-84 12 6C D8 40 90 46 D6 04 44 41 44 44 00 84 12
-6C D8 00 A0 28 D9 06 44 41 44 44 2E 42 00 84 12
-6C D8 40 A0 1A D9 03 42 49 54 84 12 6C D8 00 B0
-46 D9 05 42 49 54 2E 42 84 12 6C D8 40 B0 52 D9
-03 42 49 43 84 12 6C D8 00 C0 60 D9 05 42 49 43
-2E 42 84 12 6C D8 40 C0 6C D9 03 42 49 53 84 12
-6C D8 00 D0 7A D9 05 42 49 53 2E 42 84 12 6C D8
-40 D0 00 00 03 58 4F 52 84 12 6C D8 00 E0 94 D9
-05 58 4F 52 2E 42 84 12 6C D8 40 E0 C6 D8 03 41
-4E 44 84 12 6C D8 00 F0 AE D9 05 41 4E 44 2E 42
-84 12 6C D8 40 F0 90 C6 26 D7 CC D9 1A 42 BC 21
-B2 F0 70 00 BC 21 8A 10 3A F0 0F 00 82 DA BC 21
-4A 3F 00 D9 03 52 52 43 84 12 C6 D9 00 10 E4 D9
-05 52 52 43 2E 42 84 12 C6 D9 40 10 F0 D9 04 53
-57 50 42 00 84 12 C6 D9 80 10 FE D9 03 52 52 41
-84 12 C6 D9 00 11 0C DA 05 52 52 41 2E 42 84 12
-C6 D9 40 11 18 DA 03 53 58 54 84 12 C6 D9 80 11
-00 00 04 50 55 53 48 00 84 12 C6 D9 00 12 32 DA
-06 50 55 53 48 2E 42 00 84 12 C6 D9 40 12 86 D9
-04 43 41 4C 4C 00 84 12 C6 D9 80 12 34 C4 2C 00
-26 D7 1E D8 66 DA 59 42 BC 21 5A 42 BD 21 82 4A
-BC 21 BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 82 C7 8C C9 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 A6 CD 40 DA 05 50 55 53 48 4D 84 12
-5C DA 00 15 A8 DA 04 50 4F 50 4D 00 84 12 5C DA
-00 17 90 C6 92 D6 C8 DA 82 43 BC 21 92 42 C4 21
-BA 21 A2 53 C4 21 92 53 C2 21 3E 40 2C 00 B0 12
-2A C4 0C CA 62 CB E0 C5 26 CE 1E D8 EE DA 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F 26 DA
-04 52 52 43 4D 00 84 12 C2 DA 50 00 00 DB 04 52
-52 41 4D 00 84 12 C2 DA 50 01 0E DB 04 52 4C 41
-4D 00 84 12 C2 DA 50 02 1C DB 04 52 52 55 4D 00
-84 12 C2 DA 50 03 85 12 00 3C 2A DB 03 53 3E 3D
-85 12 00 38 3C DB 02 53 3C 00 85 12 00 34 B6 DA
-03 30 3E 3D 85 12 00 30 50 DB 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C 64 DB 03 55
-3E 3D 85 12 00 28 5A DB 03 30 3C 3E 85 12 00 24
-78 DB 02 30 3D 00 85 12 00 20 7C C8 02 49 46 00
-1A 42 C4 21 8A 4E 00 00 A2 53 C4 21 0E 4A 30 4D
-6E DB 04 54 48 45 4E 00 1A 42 C4 21 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D 36 D9 04 45 4C 53 45 00 1A 42 C4 21
-BA 40 00 3C 00 00 A2 53 C4 21 2F 83 8F 4A 00 00
-E3 3F A2 DB 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C4 21 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 21 30 4D
-BA D9 05 41 47 41 49 4E 87 12 36 DB EA DB 2A C4
-00 00 05 57 48 49 4C 45 87 12 90 DB 78 C4 2A C4
-46 DB 06 52 45 50 45 41 54 00 87 12 36 DB EA DB
-A8 DB 2A C4 00 00 03 4A 4D 50 87 12 18 CE 36 DB
-EA DB 2A C4 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 54 DC
-18 CE 78 C4 EA DB 2A C4 8A DC 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 C4 21 00 00 30 4D 88 43
-00 00 A4 3F 50 DA 03 42 57 31 84 12 88 DC 00 00
-A6 DC 03 42 57 32 84 12 88 DC 00 00 B2 DC 03 42
-57 33 84 12 88 DC 00 00 CA DC 3D 41 1A 42 C4 21
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 21 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 C8 DC 00 00 EE DC 03 46 57 32 84 12
-C8 DC 00 00 FA DC 03 46 57 33 84 12 C8 DC 00 00
-06 DD 04 47 4F 54 4F 00 87 12 36 DB 18 CE 36 CC
-2A C4 76 DC 05 3F 47 4F 54 4F 87 12 54 DC 18 CE
-36 CC 2A C4 22 D4 09 7B 55 54 49 4C 49 54 59 7D
-30 4D F4 C9 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
-8F 4E FA FF 3E 40 80 20 2E 83 8F 4E FC FF 3F 80
-06 00 2E 8F 0E 11 87 12 34 C4 3C 00 EC C8 82 C7
-34 C4 08 00 EC C8 34 C4 3E 00 EC C8 38 C9 8A C4
-8A C4 C4 C5 EA C5 8E DD 62 C4 62 C4 2A C4 F0 C5
-28 C6 F2 C4 4E C7 34 C4 02 00 00 C6 90 DD 2A C4
-44 DD 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
-E0 20 D3 3F FA CA 01 3F 2E 4E 30 40 4E C7 9C D4
-05 57 4F 52 44 53 87 12 2C C9 34 C4 03 00 4E C9
-34 C4 CA 21 F2 C4 50 C6 34 C4 10 00 44 C4 20 C5
-8A D1 34 C4 00 00 44 C4 34 C4 10 00 44 C4 20 C5
-34 C4 00 00 F0 C5 44 C4 28 C6 50 C6 20 C5 F2 C4
-D2 C5 E0 C5 14 DE 62 C4 62 C4 28 C6 44 C4 50 C6
-20 C5 F2 C4 34 C4 02 00 00 C6 F6 DD 54 C4 E0 C5
-56 DE 44 C4 34 C4 02 00 28 C5 F2 C4 9A C4 50 C6
-20 C5 FA C4 44 C4 88 CC 34 C4 7F 00 36 C5 70 C9
-08 C5 34 C4 0F 00 36 C5 34 C4 10 00 78 C4 28 C5
-4E C9 DC C5 E2 DD 62 C4 2A C4 84 D1 03 4D 41 58
-2E 9F 07 38 2F 53 30 4D 5C DE 03 4D 49 4E 2E 9F
-F9 3B 3E 4F 30 4D 9C D0 03 55 2E 52 87 12 B0 C4
-9A C6 34 C4 00 00 A6 C6 FE C6 18 C7 BC C4 8A C4
-28 C5 34 C4 00 00 60 DE 4E C9 70 C9 2A C4 EC D0
-04 44 55 4D 50 00 0D 12 12 12 DA 21 B2 40 10 00
-DA 21 2E 5F BF F0 F0 FF 00 00 B0 12 2A C4 78 C4
-F0 C5 2C C9 28 C6 34 C4 07 00 7C DE 38 C9 28 C6
-34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4
-03 00 7C DE 12 C6 DA DE 38 C9 38 C9 28 C6 34 C4
-10 00 20 C5 28 C6 F0 C5 28 C6 08 C5 34 C4 7E 00
-6E DE 90 C6 60 DE EC C8 12 C6 F8 DE 34 C4 10 00
-00 C6 C2 DE BC C4 7A C6 FA C4 2A C4
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C4 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C6 01 23 1B 42 DA 21 2C 4F 2F 83 B0 12
+B4 C6 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 21 18 42 AA 21 C8 4A 00 00
+30 4D F4 C6 02 23 53 00 87 12 F6 C6 2E C7 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C7 02 23
+3E 00 9F 42 AA 21 00 00 3E 40 AA 21 2E 8F 30 4D
+26 C6 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C6
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C6 02 55 2E 00 87 12 9A C6 34 C4 00 00
+28 C7 42 C7 A2 C9 6A C9 2A C4 68 C5 02 44 2E 00
+87 12 9A C6 78 C4 8A C4 6E C5 28 C7 9A C4 66 C7
+42 C7 A2 C9 6A C9 2A C4 50 C5 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C7 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 21 30 4D 5C C5
+05 41 4C 4C 4F 54 82 5E C4 21 3E 4F 30 4D 3E C7
+02 43 2C 00 1A 42 C4 21 CA 4E 00 00 92 53 C4 21
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C8 92 B3
+1C 05 FD 27 1E 42 0C 05 B0 12 76 C8 30 4D BC C7
+05 28 4B 45 59 29 18 42 0C 05 EC 3F 38 C6 03 4B
+45 59 30 40 16 C8 D0 C7 06 41 43 43 45 50 54 00
+3C 40 FA C8 3B 40 AC C8 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE C8 92 B3 1C 05
+05 24 18 42 0C 05 38 90 0A 00 04 20 21 53 39 40
+90 C8 4D 15 B2 40 11 00 0E 05 F2 C2 03 02 A2 B3
+1C 05 FD 27 30 41 B2 40 13 00 0E 05 F2 D2 03 02
+A2 B3 1C 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E C8 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 0C 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 C8 0F 3C C6 C8 38 40 20 00 3D 52 0A 3C D0 C8
+78 42 3D 40 EE C8 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 0E 05 A2 B3 1C 05 FD 27 30 4D F0 C8
+2D 83 92 B3 1C 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 C8 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C C7 04 45 4D 49 54 00 30 40
+10 C9 18 C9 04 45 43 48 4F 00 B2 40 82 48 E2 C8
+30 4D AA C7 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 C8 30 4D 08 C9 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 C7 02 43 52 00 30 40
+4C C9 60 C7 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 C9 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C C9 EF 3F 8E C9 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 C7 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 C5 28 C6 08 C5 1E C9 12 C6 B2 C9 2A C4 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A C9 07 43 41 50 53 5F 4F 4E B2 43 AC 21
+30 4D D4 C9 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 21 30 4D 78 C9 82 53 22 00 87 12 34 C4 BE C9
+AA CC EE C9 34 C4 22 00 3E CA DC C9 0E CA 3D 41
+6E 4E 1E 83 82 5E C4 21 3E 4F 92 B3 C4 21 A2 63
+C4 21 30 4D 34 C9 82 2E 22 00 87 12 FA C9 34 C4
+A2 C9 AA CC 2A C4 00 00 04 57 4F 52 44 00 3C 40
+BE 21 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 21 F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 21 82 4A C2 21 1E 42 C4 21 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 21 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 C4 34 40 14 C4 30 4D 2F 53 2F 53 3E 4F
+30 4D 9C C9 03 55 4D 2A 2C 4F 0B 43 09 43 08 43
+1A 43 0E BA 02 24 09 5C 08 6B 0C 5C 0B 6B 0A 5A
+F8 2B 8F 49 00 00 0E 48 30 4D 6A C6 07 3E 4E 55
+4D 42 45 52 2C 4F 0B 4E 69 4C 79 80 30 00 79 90
+0A 00 05 28 79 80 07 00 79 90 0A 00 20 28 19 92
+DA 21 1D 2C 4D 15 1C 4F 02 00 1E 42 DA 21 3D 40
+64 CB D3 3F 66 CB 81 49 02 00 1C 4F 04 00 1E 42
+DA 21 3D 40 78 CB C9 3F 7A CB 39 51 3E 61 8F 49
+04 00 8F 4E 02 00 2B 17 1C 53 1B 83 D5 23 8F 4C
+00 00 0E 4B 30 4D 0C 43 1B 42 DA 21 32 C0 00 02
+2D 15 3D 40 F0 CB 3F 82 8F 4E 06 00 8F 43 04 00
+8F 43 02 00 0C 4E 7B 4C 69 4C 79 80 2C 00 13 2C
+59 83 A2 43 DA 21 79 52 09 24 B2 52 DA 21 69 53
+05 24 B2 40 10 00 DA 21 59 83 AE 23 1C 53 1B 83
+69 4C 79 80 2C 00 59 93 A7 23 B1 43 02 00 CC 3F
+F2 CB 0E 93 31 24 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C1 3F FC 90 2C 00 00 00 25 20 0A 4B
+1B 42 DA 21 09 43 8F 49 02 00 5A 83 09 4A 09 5C
+69 49 39 80 30 00 79 90 0A 00 05 28 79 80 07 00
+79 90 0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B
+2C 15 B0 12 AC C6 2A 17 E6 3F 9F 4F 04 00 02 00
+AF 4F 04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B DA 21
+04 24 3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00
+04 00 BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00
+BF E3 00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02
+01 20 2F 53 30 4D 24 C9 07 45 58 45 43 55 54 45
+0A 4E 3E 4F 00 4A 26 C5 01 2C 1A 42 C4 21 A2 53
+C4 21 8A 4E 00 00 3E 4F 30 4D A8 CC 87 4C 49 54
+45 52 41 4C 82 93 B6 21 0F 24 1A 42 C4 21 A2 52
+C4 21 BA 40 34 C4 00 00 8A 4E 02 00 3E 4F 32 B0
+00 02 32 C0 00 02 F1 23 30 4D E4 C9 05 43 4F 55
+4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D
+82 4E BE 21 B2 4F C0 21 3E 4F 82 43 C2 21 87 12
+90 C6 3E CA 16 CD 3D 40 22 CD C0 22 3D 41 3E 4F
+30 4D 24 CD 0A 4E 3E 4F 3D 40 3A CD 34 27 3D 40
+10 CD 1A E2 B6 21 B9 27 B3 23 3C CD 3E 4F 3D 40
+10 CD C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00
+00 00 3D 40 6A CF CD 3F 98 CC 08 45 56 41 4C 55
+41 54 45 00 39 40 BE 21 3C 49 3B 49 3A 49 3D 15
+B0 12 2A C4 00 CD 78 CD B2 41 C2 21 B2 41 C0 21
+B2 41 BE 21 3D 41 30 4D 4C C6 04 51 55 49 54 00
+31 40 E0 20 B2 40 00 20 00 20 82 43 B6 21 82 43
+08 18 B0 12 2A C4 BE C9 03 0D 6F 6B A2 C9 6A C9
+5A C6 44 C4 64 C6 30 C8 6A C9 00 CD DE C4 92 C5
+BE C9 0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20
+0A CE 34 C4 30 FF C2 C7 D2 C5 BE C9 0B 46 52 41
+4D 20 66 75 6C 6C 21 20 0A CE 86 C6 F2 C4 E0 C5
+A6 CD BE C9 03 0D 20 20 DC C5 AC CD 28 C8 05 41
+42 4F 52 54 3F 40 80 20 C3 3F 8F 93 02 00 75 26
+B2 40 82 48 E2 C8 B0 12 8C D3 A2 B3 1C 05 FD 27
+B2 40 11 00 0E 05 F2 C2 03 02 92 C3 1C 05 38 40
+A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3
+1C 05 F3 23 87 12 BE C9 04 1B 5B 37 6D 00 A2 C9
+A2 C9 BE C9 04 1B 5B 30 6D 00 A2 C9 6A D2 C8 D2
+DC D2 2A D3 DC C9 04 CE FE CD 86 41 42 4F 52 54
+22 00 87 12 FA C9 34 C4 0A CE AA CC 2A C4 96 CA
+01 27 87 12 90 C6 3E CA 9C CA E0 C5 90 CE 2A C4
+44 CD 96 C6 81 5C 92 42 BE 21 C2 21 30 4D 00 00
+81 5B 82 43 B6 21 30 4D 94 CE 01 5D B2 43 B6 21
+30 4D A0 CE 83 5B 27 5D 87 12 82 CE 34 C4 34 C4
+AA CC AA CC 2A C4 BE 4F 02 00 3E 4F 30 4D 46 C9
+82 49 53 00 87 12 86 C6 F2 C4 E0 C5 E8 CE B8 CE
+34 C4 C6 CE AA CC 2A C4 82 CE C6 CE 2A C4 D0 CE
+09 49 4D 4D 45 44 49 41 54 45 1A 42 AE 21 FA D0
+80 00 00 00 30 4D F6 C9 87 52 45 43 55 52 53 45
+19 42 C4 21 99 42 B2 21 00 00 A2 53 C4 21 30 4D
+8A CD 88 50 4F 53 54 50 4F 4E 45 00 87 12 90 C6
+3E CA 9C CA 54 C4 E0 C5 90 CE 92 C5 E0 C5 4C CF
+34 C4 34 C4 AA CC AA CC 34 C4 AA CC AA CC 2A C4
+82 9F B4 21 A0 24 87 12 BE C9 0F 73 74 61 63 6B
+20 6D 69 73 6D 61 74 63 68 21 10 CE 87 12 1A CA
+90 C6 3E CA 76 CF 08 4E 7A 4E 5A D3 5A 53 0A 58
+19 42 C8 21 6E 4E 3E F0 1E 00 09 5E 82 48 AE 21
+82 49 B0 21 82 4A B2 21 2A 52 82 4A C4 21 3E 4F
+3D 41 30 41 38 CA 08 56 41 52 49 41 42 4C 45 00
+B0 12 6C CF BA 40 86 12 FC FF 71 3C EC CC 08 43
+4F 4E 53 54 41 4E 54 00 B0 12 6C CF BA 40 85 12
+FC FF 8A 4E FE FF 3E 4F 62 3C BE CF 06 43 52 45
+41 54 45 00 B0 12 6C CF BA 40 85 12 FC FF 8A 4A
+FE FF 55 3C 5A CD 05 44 4F 45 53 3E 1A 42 B2 21
+BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D F6 CF
+05 44 45 46 45 52 B0 12 6C CF BA 40 30 40 FC FF
+BA 40 0C D0 FE FF 3B 3C B4 CE 01 3A B0 12 6C CF
+BA 40 87 12 FC FF A2 83 C4 21 B2 43 B6 21 82 4F
+B4 21 30 4D 2A D0 81 3B 82 93 B6 21 24 27 87 12
+34 C4 2A C4 AA CC 50 CF A2 CE 2A C4 5E D0 3D 41
+B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D BC CC 06 4D
+41 52 4B 45 52 00 B0 12 6C CF BA 40 84 12 FC FF
+BA 40 5C D0 FE FF 9A 42 C6 21 00 00 28 83 8A 48
+02 00 A2 52 C4 21 18 42 AE 21 19 42 B0 21 A8 49
+FE FF 89 48 00 00 30 4D F0 CE 82 49 46 00 2F 83
+8F 4E 00 00 1E 42 C4 21 A2 52 C4 21 BE 40 E0 C5
+00 00 2E 53 30 4D 10 D0 84 45 4C 53 45 00 A2 52
+C4 21 1A 42 C4 21 BA 40 DC C5 FC FF 8E 4A 00 00
+2A 83 0E 4A 30 4D 04 CB 84 54 48 45 4E 00 9E 42
+C4 21 00 00 3E 4F 30 4D DC CF 85 42 45 47 49 4E
+30 40 C2 C7 E8 D0 85 55 4E 54 49 4C 39 40 E0 C5
+A2 52 C4 21 1A 42 C4 21 8A 49 FC FF 8A 4E FE FF
+3E 4F 30 4D 6A CE 85 41 47 41 49 4E 39 40 DC C5
+EF 3F A6 CF 85 57 48 49 4C 45 87 12 AE D0 78 C4
+2A C4 08 CF 86 52 45 50 45 41 54 00 87 12 2C D1
+EE D0 2A C4 C8 D0 82 44 4F 00 2F 83 8F 4E 00 00
+A2 53 C4 21 1E 42 C4 21 BE 40 F0 C5 FE FF A2 53
+00 20 1A 42 00 20 8A 43 00 00 30 4D 6E D0 84 4C
+4F 4F 50 00 39 40 12 C6 A2 52 C4 21 1A 42 C4 21
+8A 49 FC FF 8A 4E FE FF 1E 42 00 20 A2 83 00 20
+2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
+1E C8 85 2B 4C 4F 4F 50 39 40 00 C6 E5 3F 7E D1
+85 4C 45 41 56 45 1A 42 C4 21 BA 40 22 C6 00 00
+BA 40 DC C5 02 00 B2 50 06 00 C4 21 A2 53 00 20
+2A 52 19 42 00 20 89 4A 00 00 30 4D C0 D1 04 4D
+4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
+08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
+30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
+FA 23 30 4D 34 D1 0A 56 4F 43 41 42 55 4C 41 52
+59 00 87 12 E4 CF 34 C4 10 00 34 C4 00 00 F0 C5
+34 C4 00 00 AA CC 12 C6 40 D2 C2 C7 34 C4 C6 21
+44 C4 F2 C4 AA CC FA C4 FC CF 34 C4 CA 21 FA C4
+2A C4 80 CE 05 46 4F 52 54 48 84 12 5A D2 18 D7
+EE D6 08 E2 D2 E1 22 E0 B2 D1 50 DF 88 DE 4E D3
+16 E2 40 E1 A6 DE 46 C5 12 E0 AA CE 9C DE 00 00
+26 D1 04 41 4C 53 4F 00 3A 40 0E 00 39 40 CA 21
+38 40 CC 21 B6 3F 22 CF 08 50 52 45 56 49 4F 55
+53 00 3A 40 0E 00 39 40 CC 21 38 40 CA 21 A3 3F
+26 CA 04 4F 4E 4C 59 00 82 43 CC 21 30 4D 56 D1
+0B 44 45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 21
+C8 21 30 4D 6A D2 C8 D2 DC D2 EC D2 3A 4E 82 4A
+C6 21 2E 4E 82 4E C4 21 3D 40 10 00 09 4A 08 49
+29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83
+F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D A8 D2
+09 50 57 52 5F 53 54 41 54 45 84 12 E4 D2 D2 D6
+3A E2 44 D1 09 52 53 54 5F 53 54 41 54 45 92 42
+0E 18 2E D3 92 42 0C 18 30 D3 EF 3F 20 D3 08 50
+57 52 5F 48 45 52 45 00 92 42 C6 21 2E D3 92 42
+C4 21 30 D3 30 4D 34 D3 08 52 53 54 5F 48 45 52
+45 00 92 42 C6 21 0E 18 92 42 C4 21 0C 18 EC 3F
+B2 40 1A D5 7E D5 B2 40 9E C8 92 C8 B2 40 10 C9
+20 C9 B2 40 4C C9 60 C9 B2 40 16 C8 24 C8 82 43
+94 DD 82 43 A0 DD 82 43 AC DD 82 43 DC DD 82 43
+E8 DD 82 43 F4 DD B2 40 0A 00 DA 21 30 41 26 D2
+04 57 49 50 45 00 39 40 80 FF B9 43 00 00 29 53
+39 90 E2 FF FA 23 B0 12 80 D3 B2 40 3A E2 0C 18
+B2 40 D2 D6 0E 18 AB 3F FA D0 07 43 4F 4D 50 41
+52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24
+1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27
+02 2C 3E 43 30 4D 1E 43 30 4D 46 D0 86 5B 54 48
+45 4E 5D 00 30 4D 1E 83 30 4D 1C D4 86 5B 45 4C
+53 45 5D 00 87 12 34 C4 01 00 90 C6 3E CA F2 CC
+44 C4 E0 C5 A2 D4 8A C4 8A C4 BE C9 04 5B 49 46
+5D 00 F2 D3 EA C5 60 D4 FC CA 2C C5 DC C5 96 D4
+8A C4 8A C4 BE C9 06 5B 45 4C 53 45 5D 00 F2 D3
+EA C5 84 D4 FC CA 26 D4 44 C4 E0 C5 80 D4 2C C5
+DC C5 96 D4 BE C9 06 5B 54 48 45 4E 5D 00 F2 D3
+EA C5 96 D4 26 D4 54 C4 EA C5 9E D4 2A C4 DC C5
+3A D4 FC CA BE C9 03 0D 6B 6F A2 C9 6A C9 5A C6
+44 C4 64 C6 30 C8 B8 D4 82 43 C2 21 82 4E BE 21
+B2 4F C0 21 3E 4F 3D 40 3A D4 30 4D 2C D4 84 5B
+49 46 5D 00 0E 93 3E 4F AD 27 30 4D CE D4 8B 5B
+55 4E 44 45 46 49 4E 45 44 5D 87 12 90 C6 3E CA
+9C CA 6C C4 86 C5 2A C4 DE D4 89 5B 44 45 46 49
+4E 45 44 5D 87 12 90 C6 3E CA 9C CA 6C C4 2A C4
+AA D0 06 28 57 41 52 4D 29 00 1E 42 08 18 87 12
+BE C9 06 0D 1B 5B 37 6D 23 00 A2 C9 AC C7 BE C9
+27 20 46 61 73 74 46 6F 72 74 68 20 56 32 2E 30
+20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54
+68 6F 6F 72 65 6E 73 20 A2 C9 34 C4 30 FF C2 C7
+28 C5 78 C7 BE C9 0B 62 79 74 65 73 20 66 72 65
+65 20 16 CE C0 D3 04 57 41 52 4D 00 30 40 1A D5
+EA D3 04 43 4F 4C 44 00 B2 40 04 A5 20 01 B2 40
+88 5A CC 01 B2 D0 00 08 04 02 B2 D3 06 02 B2 43
+02 02 B2 40 00 01 24 02 B2 40 FF FE 22 02 B2 D0
+FF FE 26 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02
+B2 D3 66 02 F2 40 A5 00 A1 01 F2 40 10 00 A0 01
+D2 43 A1 01 B2 40 00 A5 60 01 B2 40 29 01 80 01
+B2 40 0B 00 82 01 B2 40 E9 01 84 01 39 40 40 00
+B2 40 00 06 2A 02 82 43 88 01 92 D2 5E 01 08 18
+A2 93 08 18 01 24 59 03 38 43 18 83 FE 23 19 83
+FB 23 3A 40 8E D5 39 40 E2 FF 89 4A 00 00 29 53
+FC 23 92 42 02 18 EC FF B2 40 18 00 0A 18 31 40
+E0 20 3F 40 80 20 37 40 00 C4 36 40 BC C4 35 40
+08 C4 34 40 14 C4 B2 40 0A 00 DA 21 B2 43 AC 21
+92 C3 30 01 18 42 08 18 D2 B3 00 02 04 20 38 E3
+18 53 82 48 08 18 B2 40 81 00 00 05 B2 40 11 00
+06 05 B2 40 00 4A 08 05 F2 D0 03 00 0A 02 92 C3
+00 05 92 D3 1A 05 3D 40 A0 D6 18 42 08 18 38 90
+0A 00 99 26 38 90 16 00 96 2E 28 93 50 22 45 26
+7C D5 92 D2 09 41 53 53 45 4D 42 4C 45 52 84 12
+5A D2 F8 DC A4 DD AC DC F8 DD 72 DC 2C DD 76 D9
+00 00 68 DC 18 DD CA DC 08 DD 86 DA 00 00 00 00
+0A DE 8E D2 12 D5 85 48 49 32 4C 4F 87 12 C2 C7
+C2 D0 AA CC A2 CE 98 D2 AE D6 2A C4 82 D5 04 43
+4F 44 45 00 B0 12 6C CF A2 82 C4 21 87 12 3E D0
+DC C5 E6 D6 00 00 07 45 4E 44 43 4F 44 45 87 12
+B2 D2 50 CF 2A C4 A4 D6 03 41 53 4D 92 42 C8 21
+B8 21 B2 40 B2 D6 C8 21 E5 3F 06 D7 06 45 4E 44
+41 53 4D 00 92 42 B8 21 C8 21 E9 3F 00 00 05 43
+4F 4C 4F 4E 1A 42 C4 21 BA 40 87 12 00 00 A2 53
+C4 21 B2 43 B6 21 30 40 B2 D2 A4 C6 05 4C 4F 32
+48 49 1A 42 C4 21 BA 40 B0 12 00 00 BA 40 2A C4
+02 00 A2 52 C4 21 ED 3F 38 40 BE 21 39 48 2A 48
+09 5A 1A 52 C2 21 09 9A 03 24 7E 9A FC 27 1A 83
+0E 4A 2A 88 82 4A C2 21 30 4D B0 12 2A C4 3E CA
+9C CA EA C5 AE D7 96 CB E0 C5 90 CE D0 D7 B0 D7
+29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
+03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
+30 41 19 42 C4 21 A2 53 C4 21 89 4E 00 00 3E 40
+29 00 12 12 C2 21 92 53 C2 21 B0 12 2A C4 3E CA
+96 CB E0 C5 02 D8 F8 D7 21 53 3E 90 10 00 BB 2D
+30 41 04 D8 B2 41 C2 21 22 D3 30 41 87 12 90 C6
+78 D7 14 D8 82 43 BC 21 92 42 C4 21 BA 21 A2 53
+C4 21 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
+C2 21 B0 12 9A D7 0E 93 04 20 B2 40 00 03 BC 21
+27 3C 1E 93 04 20 B2 40 10 03 BC 21 21 3C 2E 93
+04 20 B2 40 20 03 BC 21 1B 3C 2E 92 04 20 B2 40
+20 02 BC 21 15 3C 3E 92 04 20 B2 40 30 02 BC 21
+0F 3C 3E 93 04 20 B2 40 30 03 BC 21 09 3C B2 40
+30 00 BC 21 19 42 C4 21 A2 53 C4 21 89 4E 00 00
+3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
+10 02 BC 21 92 53 C2 21 30 12 84 D8 76 3F FA 90
+40 00 00 00 1A 20 B2 40 20 00 BC 21 92 53 C2 21
+B0 12 E2 D7 0E 20 B2 50 10 00 BC 21 3E 40 2B 00
+B0 12 E2 D7 32 24 92 92 BE 21 C2 21 02 24 92 53
+C2 21 8E 10 82 5E BC 21 D3 3F B0 12 E2 D7 F9 23
+B2 50 10 00 BC 21 3E 40 28 00 B0 12 9A D7 30 12
+D4 D8 67 3F 87 12 90 C6 78 D7 0C D9 FE 90 26 00
+00 00 3E 40 20 00 04 20 B2 50 82 00 BC 21 C2 3F
+B0 12 E2 D7 DF 23 B2 50 80 00 BC 21 3E 40 28 00
+B0 12 9A D7 B0 12 D2 D7 D5 23 3D 40 90 CE 30 4D
+8A C8 04 52 45 54 49 00 87 12 34 C4 00 13 AA CC
+2A C4 34 C4 2C 00 0C D8 04 D9 5C D9 2E 4E 1E D2
+BC 21 19 42 BA 21 92 3F 5C D7 03 4D 4F 56 84 12
+52 D9 00 40 6A D9 05 4D 4F 56 2E 42 84 12 52 D9
+40 40 00 00 03 41 44 44 84 12 52 D9 00 50 84 D9
+05 41 44 44 2E 42 84 12 52 D9 40 50 90 D9 04 41
+44 44 43 00 84 12 52 D9 00 60 9E D9 06 41 44 44
+43 2E 42 00 84 12 52 D9 40 60 42 D9 04 53 55 42
+43 00 84 12 52 D9 00 70 BC D9 06 53 55 42 43 2E
+42 00 84 12 52 D9 40 70 CA D9 03 53 55 42 84 12
+52 D9 00 80 DA D9 05 53 55 42 2E 42 84 12 52 D9
+40 80 3E D7 03 43 4D 50 84 12 52 D9 00 90 F4 D9
+05 43 4D 50 2E 42 84 12 52 D9 40 90 2C D7 04 44
+41 44 44 00 84 12 52 D9 00 A0 0E DA 06 44 41 44
+44 2E 42 00 84 12 52 D9 40 A0 00 DA 03 42 49 54
+84 12 52 D9 00 B0 2C DA 05 42 49 54 2E 42 84 12
+52 D9 40 B0 38 DA 03 42 49 43 84 12 52 D9 00 C0
+46 DA 05 42 49 43 2E 42 84 12 52 D9 40 C0 52 DA
+03 42 49 53 84 12 52 D9 00 D0 60 DA 05 42 49 53
+2E 42 84 12 52 D9 40 D0 00 00 03 58 4F 52 84 12
+52 D9 00 E0 7A DA 05 58 4F 52 2E 42 84 12 52 D9
+40 E0 AC D9 03 41 4E 44 84 12 52 D9 00 F0 94 DA
+05 41 4E 44 2E 42 84 12 52 D9 40 F0 90 C6 0C D8
+B2 DA 1A 42 BC 21 B2 F0 70 00 BC 21 8A 10 3A F0
+0F 00 82 DA BC 21 4A 3F E6 D9 03 52 52 43 84 12
+AC DA 00 10 CA DA 05 52 52 43 2E 42 84 12 AC DA
+40 10 D6 DA 04 53 57 50 42 00 84 12 AC DA 80 10
+E4 DA 03 52 52 41 84 12 AC DA 00 11 F2 DA 05 52
+52 41 2E 42 84 12 AC DA 40 11 FE DA 03 53 58 54
+84 12 AC DA 80 11 00 00 04 50 55 53 48 00 84 12
+AC DA 00 12 18 DB 06 50 55 53 48 2E 42 00 84 12
+AC DA 40 12 6C DA 04 43 41 4C 4C 00 84 12 AC DA
+80 12 34 C4 2C 00 0C D8 04 D9 4C DB 59 42 BC 21
+5A 42 BD 21 82 4A BC 21 BE 90 00 15 00 00 02 20
+0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
+A8 3F 1A 53 0E 4A 87 12 AC C7 BE C9 0D 6F 75 74
+20 6F 66 20 62 6F 75 6E 64 73 10 CE 26 DB 05 50
+55 53 48 4D 84 12 42 DB 00 15 8E DB 04 50 4F 50
+4D 00 84 12 42 DB 00 17 90 C6 78 D7 AE DB 82 43
+BC 21 92 42 C4 21 BA 21 A2 53 C4 21 92 53 C2 21
+3E 40 2C 00 B0 12 2A C4 3E CA 96 CB E0 C5 90 CE
+04 D9 D4 DB 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
+5A 06 6F 3F 0C DB 04 52 52 43 4D 00 84 12 A8 DB
+50 00 E6 DB 04 52 52 41 4D 00 84 12 A8 DB 50 01
+F4 DB 04 52 4C 41 4D 00 84 12 A8 DB 50 02 02 DC
+04 52 52 55 4D 00 84 12 A8 DB 50 03 85 12 00 3C
+10 DC 03 53 3E 3D 85 12 00 38 22 DC 02 53 3C 00
+85 12 00 34 9C DB 03 30 3E 3D 85 12 00 30 36 DC
+02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
+00 2C 4A DC 03 55 3E 3D 85 12 00 28 40 DC 03 30
+3C 3E 85 12 00 24 5E DC 02 30 3D 00 85 12 00 20
+96 C8 02 49 46 00 1A 42 C4 21 8A 4E 00 00 A2 53
+C4 21 0E 4A 30 4D 54 DC 04 54 48 45 4E 00 1A 42
+C4 21 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
+00 02 68 2F 88 DA 00 00 30 4D 1C DA 04 45 4C 53
+45 00 1A 42 C4 21 BA 40 00 3C 00 00 A2 53 C4 21
+2F 83 8F 4A 00 00 E3 3F 88 DC 05 55 4E 54 49 4C
+3A 4F 08 4E 3E 4F 19 42 C4 21 2A 83 0A 89 0A 11
+3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
+A2 53 C4 21 30 4D A0 DA 05 41 47 41 49 4E 87 12
+1C DC D0 DC 2A C4 00 00 05 57 48 49 4C 45 87 12
+76 DC 78 C4 2A C4 2C DC 06 52 45 50 45 41 54 00
+87 12 1C DC D0 DC 8E DC 2A C4 00 00 03 4A 4D 50
+87 12 82 CE 1C DC D0 DC 2A C4 3E B0 00 10 03 20
+3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
+00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
+50 00 87 12 3A DD 82 CE 78 C4 D0 DC 2A C4 70 DD
+3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 21
+00 00 30 4D 88 43 00 00 A4 3F 36 DB 03 42 57 31
+84 12 6E DD 00 00 8C DD 03 42 57 32 84 12 6E DD
+00 00 98 DD 03 42 57 33 84 12 6E DD 00 00 B0 DD
+3D 41 1A 42 C4 21 28 4E 08 93 08 20 BA 4F 00 00
+A2 53 C4 21 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
+61 3F 00 00 03 46 57 31 84 12 AE DD 00 00 D4 DD
+03 46 57 32 84 12 AE DD 00 00 E0 DD 03 46 57 33
+84 12 AE DD 00 00 EC DD 04 47 4F 54 4F 00 87 12
+1C DC 82 CE A0 CC 2A C4 5C DD 05 3F 47 4F 54 4F
+87 12 3A DD 82 CE A0 CC 2A C4 FA D4 09 7B 55 54
+49 4C 49 54 59 7D 30 4D C2 D2 02 2E 53 00 8F 4E
+FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 20 2E 83
+8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C4
+3C 00 1E C9 AC C7 34 C4 08 00 1E C9 34 C4 3E 00
+1E C9 6A C9 8A C4 8A C4 C4 C5 EA C5 74 DE 62 C4
+62 C4 2A C4 F0 C5 28 C6 F2 C4 78 C7 34 C4 02 00
+00 C6 76 DE 2A C4 2A DE 03 2E 52 53 8F 4E FE FF
+8F 41 FA FF 3E 40 E0 20 D3 3F 2C CB 01 3F 2E 4E
+30 40 78 C7 76 D5 05 57 4F 52 44 53 87 12 5E C9
+34 C4 03 00 80 C9 34 C4 CA 21 F2 C4 50 C6 34 C4
+10 00 44 C4 20 C5 F4 D1 34 C4 00 00 44 C4 34 C4
+10 00 44 C4 20 C5 34 C4 00 00 F0 C5 44 C4 28 C6
+50 C6 20 C5 F2 C4 D2 C5 E0 C5 FA DE 62 C4 62 C4
+28 C6 44 C4 50 C6 20 C5 F2 C4 34 C4 02 00 00 C6
+DC DE 54 C4 E0 C5 3C DF 44 C4 34 C4 02 00 28 C5
+F2 C4 9A C4 50 C6 20 C5 FA C4 44 C4 F2 CC 34 C4
+7F 00 36 C5 A2 C9 08 C5 34 C4 0F 00 36 C5 34 C4
+10 00 78 C4 28 C5 80 C9 DC C5 C8 DE 62 C4 2A C4
+EE D1 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 42 DF
+03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 06 D1 03 55
+2E 52 87 12 B0 C4 9A C6 34 C4 00 00 F6 C6 28 C7
+42 C7 BC C4 8A C4 28 C5 34 C4 00 00 46 DF 80 C9
+A2 C9 2A C4 D0 D2 04 44 55 4D 50 00 0D 12 12 12
+DA 21 B2 40 10 00 DA 21 2E 5F B0 12 2A C4 78 C4
+8A C4 8A C4 78 C7 34 C4 01 00 28 C5 78 C7 34 C4
+F0 FF 36 C5 F0 C5 5E C9 28 C6 34 C4 07 00 62 DF
+6A C9 28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6
+08 C5 34 C4 03 00 62 DF 12 C6 CE DF 6A C9 6A C9
+28 C6 34 C4 10 00 20 C5 28 C6 F0 C5 28 C6 08 C5
+34 C4 7E 00 54 DF 90 C6 46 DF 1E C9 12 C6 EC DF
+34 C4 10 00 00 C6 B6 DF BC C4 7A C6 FA C4 2A C4
+1C DE 0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D
+D6 D6 05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 21
+19 83 1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48
+AA 21 3E 4F 30 4D 64 D2 02 46 2B 00 BF 5F 02 00
+3E 6F 30 4D 48 E0 02 46 2D 00 BF 8F 02 00 8F 7E
+00 00 3E 4F 30 4D 56 E0 02 46 2F 00 1C 4F 02 00
+0C EE 0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F
+04 24 38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43
+26 4F 35 40 20 00 0A 9E 01 20 09 96 02 28 09 86
+0A 7E 07 67 04 64 15 83 09 30 0B 5B 08 68 09 69
+0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47
+00 00 0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 30 4D 68 E0 03 46 23 53 2F 83
+8F 4E 00 00 2E 42 B2 90 0A 00 DA 21 01 20 1E 53
+1E 15 3D 40 12 E1 0C 43 0C 12 1E 42 DA 21 30 40
+08 CB 14 E1 2D 83 3E 90 0A 00 02 28 3E 50 07 00
+3E 50 30 00 3C 41 CC 4E 88 21 1C 53 1C 91 02 00
+EB 2B 1D 17 8F 43 00 00 39 40 88 21 76 3F 5E DF
+04 55 44 4D 2A 00 0D 12 37 15 1D 4F 04 00 1B 4F
+02 00 2A 4F 04 43 05 43 8F 43 04 00 8F 43 02 00
+06 43 07 43 19 43 08 43 09 93 02 20 0E B8 01 3C
+0A B9 06 24 8F 5D 04 00 8F 6B 02 00 06 64 07 65
+0D 5D 0B 6B 04 64 05 65 09 59 08 68 ED 2B 8F 46
+00 00 0E 47 34 17 3D 41 30 4D EA E0 02 46 2A 00
+1C 4F 02 00 0C EE BF B0 40 1F 02 00 08 24 BF E3
+02 00 BF E3 04 00 9F 53 04 00 8F 63 02 00 87 12
+6E C5 46 E1 C6 E1 3D 41 3E 4F BF 4F 00 00 83 3F
+9C E1 02 46 2E 00 87 12 9A C6 44 C4 B0 C4 6E C5
+78 C4 EE E0 34 C4 2C 00 58 C7 28 C7 BC C4 66 C7
+42 C7 A2 C9 6A C9 2A C4 68 D3 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 86 DF 03 44 3E 46 2E 4F 8F 43
+00 00 30 4D FA E1 09 32 43 4F 4E 53 54 41 4E 54
+87 12 E4 CF 78 C4 AA CC AA CC FC CF 2E E2 2F 83
+BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFE2
-B4 D4 B4 D4 B4 D4 B4 D4 B4 D4 8A C8 B4 D4 B4 D4
-B4 D4 B4 D4 B4 D4 B4 D4 B4 D4 B4 D4 B4 D4
+8E D5 8E D5 8E D5 8E D5 8E D5 A4 C8 8E D5 8E D5
+8E D5 8E D5 8E D5 8E D5 8E D5 8E D5 8E D5
 q
index 46c2dc7..df23186 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A C6 C0 5D 80 04 05 00 18 00 DE DC AE D3
-4A C6 5C C6 00 00 00 00
+10 00 A4 C6 C0 5D 80 04 05 00 18 00 9E DF A0 D4
+64 C6 76 C6 00 00 00 00
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,397 +51,441 @@ AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 C4 04 42 41 53 45 00 85 12 DA 1D C8 C2
 05 53 54 41 54 45 85 12 B6 1D 74 C4 02 42 4C 00
 85 12 20 00 B4 C3 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C C4 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 C2 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 C4 02 23 53 00 87 12
-A6 C4 04 C5 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA C4 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 C4 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 C4 02 55 2E 00 87 12
-9A C4 34 C2 00 00 FE C4 18 C5 70 C7 38 C7 2A C2
-68 C3 02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3
-FE C4 9A C2 3C C5 18 C5 70 C7 38 C7 2A C2 50 C3
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 C5 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C C3 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 C5 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A C6 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-5C C6 30 4D 92 C5 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 C4 03 4B 45 59 30 40 EC C5 A6 C5 06 41
-43 43 45 50 54 00 30 40 16 C6 E6 C5 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 C6 3B 40 92 C6 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC C6 92 B3 DC 05 05 24 18 42 CC 05 38 90 0A 00
-04 20 21 53 39 40 76 C6 4D 15 B2 40 11 00 CE 05
-E2 C2 03 02 A2 B3 DC 05 FD 27 30 41 B2 40 13 00
-CE 05 E2 D2 03 02 A2 B3 DC 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 C6 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-CC 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 CE 05 A2 B3 DC 05 FD 27 30 4D BE C6 2D 83
-92 B3 DC 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C C6 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 C5 04 45 4D 49 54 00 30 40 DE C6
-E6 C6 04 45 43 48 4F 00 B2 40 82 48 B0 C6 30 4D
-80 C5 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 C6
-30 4D D6 C6 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 C5 02 43 52 00 30 40 1A C7
-36 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 C7 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A C7 EF 3F 5C C7 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A C5 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 C3
-28 C4 08 C3 EC C6 12 C4 80 C7 2A C2 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 C7 07 43 41 50 53 5F 4F 4E B2 43 AC 1D 30 4D
-A2 C7 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 1D
-30 4D 46 C7 82 53 22 00 87 12 34 C2 8C C7 EE C9
-BC C7 34 C2 22 00 0C C8 AA C7 DC C7 3D 41 6E 4E
-1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63 C4 1D
-30 4D 02 C7 82 2E 22 00 87 12 C8 C7 34 C2 70 C7
-EE C9 2A C2 00 00 04 57 4F 52 44 00 3C 40 BE 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C2 34 40 14 C2 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A C4 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 1D 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C C9 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E C9 2F 53
-0E 93 2C 17 82 4C DA 1D 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 C6 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C3 01 2C 1A 42
-C4 1D A2 53 C4 1D 8A 4E 00 00 3E 4F 30 4D EC C9
-87 4C 49 54 45 52 41 4C 82 93 B6 1D 0F 24 1A 42
-C4 1D A2 52 C4 1D BA 40 34 C2 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 C7
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 1D B2 4F C0 1D 3E 4F 82 43
-C2 1D 87 12 90 C4 0C C8 5A CA 3D 40 66 CA 05 23
-3D 41 3E 4F 30 4D 68 CA 0A 4E 3E 4F 3D 40 7E CA
-5A 27 3D 40 54 CA 1A E2 B6 1D B9 27 B3 23 80 CA
-3E 4F 3D 40 54 CA C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE CC CD 3F DC C9 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C2 44 CA BC CA B2 41 C2 1D
-B2 41 C0 1D B2 41 BE 1D 3D 41 30 4D 4C C4 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-B6 1D 82 43 08 18 B0 12 2A C2 8C C7 03 0D 6F 6B
-70 C7 38 C7 5A C4 44 C2 64 C4 06 C6 38 C7 44 CA
-DE C2 92 C3 8C C7 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E CB 34 C2 30 FF 98 C5 D2 C3 8C C7
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E CB 86 C4
-F2 C2 E0 C3 EA CA 8C C7 03 0D 20 20 DC C3 F0 CA
-FE C5 05 41 42 4F 52 54 3F 40 80 1C C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 C6 B0 12 62 D0 A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3
-DC 05 38 40 F0 FF 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 8C C7 04 1B 5B 37
-6D 00 70 C7 70 C7 8C C7 04 1B 5B 30 6D 00 70 C7
-70 CF B2 CF B8 CF 00 D0 AA C7 48 CB 42 CB 86 41
-42 4F 52 54 22 00 87 12 C8 C7 34 C2 4E CB EE C9
-2A C2 64 C8 01 27 87 12 90 C4 0C C8 6A C8 E0 C3
-D4 CB 2A C2 88 CA 96 C4 81 5C 92 42 BE 1D C2 1D
-30 4D 00 00 81 5B 82 43 B6 1D 30 4D D8 CB 01 5D
-B2 43 B6 1D 30 4D E4 CB 83 5B 27 5D 87 12 C6 CB
-34 C2 34 C2 EE C9 EE C9 2A C2 BE 4F 02 00 3E 4F
-30 4D 14 C7 82 49 53 00 87 12 86 C4 F2 C2 E0 C3
-2C CC FC CB 34 C2 0A CC EE C9 2A C2 C6 CB 0A CC
-2A C2 14 CC 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 1D FA D0 80 00 00 00 30 4D C4 C7 87 52 45 43
-55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
-C4 1D 30 4D CE CA 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 C4 0C C8 6A C8 54 C2 E0 C3 D4 CB 92 C3
-E0 C3 90 CC 34 C2 34 C2 EE C9 EE C9 34 C2 EE C9
-EE C9 2A C2 82 9F B4 1D A0 24 87 12 8C C7 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 CB
-87 12 E8 C7 90 C4 0C C8 BA CC 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
-C4 1D 3E 4F 3D 41 30 41 06 C8 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 CC BA 40 86 12 FC FF 71 3C
-30 CA 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 CC
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 CD
-06 43 52 45 41 54 45 00 B0 12 B0 CC BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E CA 05 44 4F 45 53 3E
-1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A CD 05 44 45 46 45 52 B0 12 B0 CC BA 40
-30 40 FC FF BA 40 50 CD FE FF 3B 3C F8 CB 01 3A
-B0 12 B0 CC BA 40 87 12 FC FF A2 83 C4 1D B2 43
-B6 1D 82 4F B4 1D 30 4D 6E CD 81 3B 82 93 B6 1D
-24 27 87 12 34 C2 2A C2 EE C9 94 CC E6 CB 2A C2
-A2 CD 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 CA 06 4D 41 52 4B 45 52 00 B0 12 B0 CC BA 40
-84 12 FC FF BA 40 A0 CD FE FF 9A 42 C6 1D 00 00
-28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
-B0 1D A8 49 FE FF 89 48 00 00 30 4D 34 CC 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
-BE 40 E0 C3 00 00 2E 53 30 4D 54 CD 84 45 4C 53
-45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC C3 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A C7 84 54 48 45
-4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 20 CD 85 42
-45 47 49 4E 30 40 98 C5 2C CE 85 55 4E 54 49 4C
-39 40 E0 C3 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE CB 85 41 47 41 49 4E
-39 40 DC C3 EF 3F EA CC 85 57 48 49 4C 45 87 12
-F2 CD 78 C2 2A C2 4C CC 86 52 45 50 45 41 54 00
-87 12 70 CE 32 CE 2A C2 0C CE 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 C3
-FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
-B2 CD 84 4C 4F 4F 50 00 39 40 12 C4 A2 52 C4 1D
-1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
-A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 C5 85 2B 4C 4F 4F 50 39 40 00 C4
-E5 3F C2 CE 85 4C 45 41 56 45 1A 42 C4 1D BA 40
-22 C4 00 00 BA 40 DC C3 02 00 B2 50 06 00 C4 1D
-A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
-04 CF 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 C2 CA 1D FA C2 2A C2
-84 12 68 CF F4 D3 CA D3 62 DC C4 CB B2 D3 F6 CE
-2C DC 64 DB 24 D0 3E D0 3A DC 82 DB 46 C3 F8 DA
-EE CB 78 DB 00 00 3A 40 0E 00 39 40 CA 1D 38 40
-CC 1D D9 3F 3A 40 0E 00 39 40 CC 1D 38 40 CA 1D
-CC 3F 82 43 CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D
-C2 CF 3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 CC 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 CF AE D3 DE DC 88 CE 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 D0 92 42 0C 18 06 D0
-EF 3F F6 CF 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 1D 04 D0 92 42 C4 1D 06 D0 30 4D 0A D0 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42
-C4 1D 0C 18 EC 3F B2 40 F0 D1 52 D2 B2 40 84 C6
-78 C6 B2 40 DE C6 EE C6 B2 40 1A C7 2E C7 B2 40
-EC C5 FA C5 82 43 70 DA 82 43 7C DA 82 43 88 DA
-82 43 B8 DA 82 43 C4 DA 82 43 D0 DA B2 40 0A 00
-DA 1D 30 41 78 CE 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12 56 D0
-B2 40 DE DC 0C 18 B2 40 AE D3 0E 18 AB 3F 3E CE
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A CD 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C2 01 00
-90 C4 0C C8 36 CA 44 C2 E0 C3 78 D1 8A C2 8A C2
-8C C7 04 5B 49 46 5D 00 C8 D0 EA C3 36 D1 CA C8
-2C C3 DC C3 6C D1 8A C2 8A C2 8C C7 06 5B 45 4C
-53 45 5D 00 C8 D0 EA C3 5A D1 CA C8 FC D0 44 C2
-E0 C3 56 D1 2C C3 DC C3 6C D1 8C C7 06 5B 54 48
-45 4E 5D 00 C8 D0 EA C3 6C D1 FC D0 54 C2 EA C3
-74 D1 2A C2 DC C3 10 D1 CA C8 8C C7 03 0D 6B 6F
-70 C7 38 C7 5A C4 44 C2 64 C4 06 C6 8E D1 82 43
-C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 10 D1
-30 4D 02 D1 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 D1 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 C4 0C C8 6A C8 6C C2 86 C3 2A C2 B4 D1
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 C4 0C C8
-6A C8 6C C2 2A C2 EE CD 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C C7 05 0D 1B 5B 37 6D 70 C7
-82 C5 8C C7 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 32 34 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 C7 34 C2
-30 FF 98 C5 28 C3 4E C5 8C C7 0B 62 79 74 65 73
-20 66 72 65 65 20 5A CB 96 D0 04 57 41 52 4D 00
-30 40 F0 D1 C0 D0 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 92 D3 30 01 B2 40 88 5A 5C 01 F2 D0 10 00
-16 02 B2 D0 00 84 04 02 B2 D0 FF 7F 06 02 B2 40
-FF 7F 02 02 F2 D0 07 00 36 02 B2 D0 F0 00 04 02
-B2 40 0F FF 02 02 B2 D0 0F FF 06 02 F2 D0 0F 00
-24 03 F2 40 F0 00 22 03 F2 D0 F0 00 26 03 F2 40
-A5 00 61 01 B2 40 86 00 62 01 82 43 66 01 39 40
-C0 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0
-10 00 2A 03 F2 C0 40 00 A1 04 3A 40 62 D2 39 40
-CE FF 89 4A 00 00 29 53 FC 23 92 42 02 18 F0 FF
-B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C 37 40
-00 C2 36 40 BC C2 35 40 08 C2 34 40 14 C2 B2 40
-0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42 08 18
-D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18 B2 40
-81 00 C0 05 B2 40 0D 00 C6 05 B2 40 01 49 C8 05
-F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
-88 D3 18 42 08 18 38 90 0A 00 90 26 38 90 16 00
-8D 2E 28 93 47 22 3C 26 50 D2 84 12 68 CF D4 D9
-80 DA 88 D9 D4 DA 4E D9 08 DA 52 D6 00 00 44 D9
-F4 D9 A6 D9 E4 D9 62 D7 00 00 00 00 E6 DA 94 CF
-E8 D1 85 48 49 32 4C 4F 87 12 98 C5 06 CE EE C9
-E6 CB 96 CF 8A D3 2A C2 56 D2 04 43 4F 44 45 00
-B0 12 B0 CC A2 82 C4 1D 87 12 82 CD DC C3 C2 D3
-00 00 07 45 4E 44 43 4F 44 45 87 12 A4 CF 94 CC
-2A C2 6A CE 03 41 53 4D 92 42 C8 1D B8 1D B2 40
-8E D3 C8 1D E5 3F E2 D3 06 45 4E 44 41 53 4D 00
-92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E
-1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43
-B6 1D 30 40 A4 CF 00 00 05 4C 4F 32 48 49 1A 42
-C4 1D BA 40 B0 12 00 00 BA 40 2A C2 02 00 A2 52
-C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52
-C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
-82 4A C2 1D 30 4D B0 12 2A C2 0C C8 6A C8 EA C3
-8A D4 26 C9 E0 C3 D4 CB AC D4 8C D4 29 4E 39 90
-86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
-02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
-C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12
-C2 1D 92 53 C2 1D B0 12 2A C2 0C C8 26 C9 E0 C3
-DE D4 D4 D4 21 53 3E 90 10 00 BB 2D 30 41 E0 D4
-B2 41 C2 1D 22 D3 30 41 87 12 90 C4 54 D4 F0 D4
-82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E
-3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12
-76 D4 0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93
-04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40
-20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D
-15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93
-04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D
-19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41
-30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D
-92 53 C2 1D 30 12 60 D5 76 3F FA 90 40 00 00 00
-1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12 BE D4
-0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 BE D4
-32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10
-82 5E BC 1D D3 3F B0 12 BE D4 F9 23 B2 50 10 00
-BC 1D 3E 40 28 00 B0 12 76 D4 30 12 B0 D5 67 3F
-87 12 90 C4 54 D4 E8 D5 FE 90 26 00 00 00 3E 40
-20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12 BE D4
-DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12 76 D4
-B0 12 AE D4 D5 23 3D 40 D4 CB 30 4D 70 C6 04 52
-45 54 49 00 87 12 34 C2 00 13 EE C9 2A C2 34 C2
-2C 00 E8 D4 E0 D5 38 D6 2E 4E 1E D2 BC 1D 19 42
-BA 1D 92 3F 38 D4 03 4D 4F 56 84 12 2E D6 00 40
-46 D6 05 4D 4F 56 2E 42 84 12 2E D6 40 40 00 00
-03 41 44 44 84 12 2E D6 00 50 60 D6 05 41 44 44
-2E 42 84 12 2E D6 40 50 6C D6 04 41 44 44 43 00
-84 12 2E D6 00 60 7A D6 06 41 44 44 43 2E 42 00
-84 12 2E D6 40 60 1E D6 04 53 55 42 43 00 84 12
-2E D6 00 70 98 D6 06 53 55 42 43 2E 42 00 84 12
-2E D6 40 70 A6 D6 03 53 55 42 84 12 2E D6 00 80
-B6 D6 05 53 55 42 2E 42 84 12 2E D6 40 80 1A D4
-03 43 4D 50 84 12 2E D6 00 90 D0 D6 05 43 4D 50
-2E 42 84 12 2E D6 40 90 08 D4 04 44 41 44 44 00
-84 12 2E D6 00 A0 EA D6 06 44 41 44 44 2E 42 00
-84 12 2E D6 40 A0 DC D6 03 42 49 54 84 12 2E D6
-00 B0 08 D7 05 42 49 54 2E 42 84 12 2E D6 40 B0
-14 D7 03 42 49 43 84 12 2E D6 00 C0 22 D7 05 42
-49 43 2E 42 84 12 2E D6 40 C0 2E D7 03 42 49 53
-84 12 2E D6 00 D0 3C D7 05 42 49 53 2E 42 84 12
-2E D6 40 D0 00 00 03 58 4F 52 84 12 2E D6 00 E0
-56 D7 05 58 4F 52 2E 42 84 12 2E D6 40 E0 88 D6
-03 41 4E 44 84 12 2E D6 00 F0 70 D7 05 41 4E 44
-2E 42 84 12 2E D6 40 F0 90 C4 E8 D4 8E D7 1A 42
-BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA
-BC 1D 4A 3F C2 D6 03 52 52 43 84 12 88 D7 00 10
-A6 D7 05 52 52 43 2E 42 84 12 88 D7 40 10 B2 D7
-04 53 57 50 42 00 84 12 88 D7 80 10 C0 D7 03 52
-52 41 84 12 88 D7 00 11 CE D7 05 52 52 41 2E 42
-84 12 88 D7 40 11 DA D7 03 53 58 54 84 12 88 D7
-80 11 00 00 04 50 55 53 48 00 84 12 88 D7 00 12
-F4 D7 06 50 55 53 48 2E 42 00 84 12 88 D7 40 12
-48 D7 04 43 41 4C 4C 00 84 12 88 D7 80 12 34 C2
-2C 00 E8 D4 E0 D5 28 D8 59 42 BC 1D 5A 42 BD 1D
-82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C
-09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
-0E 4A 87 12 82 C5 8C C7 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 54 CB 02 D8 05 50 55 53 48 4D
-84 12 1E D8 00 15 6A D8 04 50 4F 50 4D 00 84 12
-1E D8 00 17 90 C4 54 D4 8A D8 82 43 BC 1D 92 42
-C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00
-B0 12 2A C2 0C C8 26 C9 E0 C3 D4 CB E0 D5 B0 D8
-0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
-E8 D7 04 52 52 43 4D 00 84 12 84 D8 50 00 C2 D8
-04 52 52 41 4D 00 84 12 84 D8 50 01 D0 D8 04 52
-4C 41 4D 00 84 12 84 D8 50 02 DE D8 04 52 52 55
-4D 00 84 12 84 D8 50 03 85 12 00 3C EC D8 03 53
-3E 3D 85 12 00 38 FE D8 02 53 3C 00 85 12 00 34
-78 D8 03 30 3E 3D 85 12 00 30 12 D9 02 30 3C 00
-85 12 00 30 00 00 02 55 3C 00 85 12 00 2C 26 D9
-03 55 3E 3D 85 12 00 28 1C D9 03 30 3C 3E 85 12
-00 24 3A D9 02 30 3D 00 85 12 00 20 7C C6 02 49
-46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A
-30 4D 30 D9 04 54 48 45 4E 00 1A 42 C4 1D 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
-88 DA 00 00 30 4D F8 D6 04 45 4C 53 45 00 1A 42
-C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A
-00 00 E3 3F 64 D9 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE
-47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D
-30 4D 7C D7 05 41 47 41 49 4E 87 12 F8 D8 AC D9
-2A C2 00 00 05 57 48 49 4C 45 87 12 52 D9 78 C2
-2A C2 08 D9 06 52 45 50 45 41 54 00 87 12 F8 D8
-AC D9 6A D9 2A C2 00 00 03 4A 4D 50 87 12 C6 CB
-F8 D8 AC D9 2A C2 3E B0 00 10 03 20 3E E0 00 04
-30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
-3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
-16 DA C6 CB 78 C2 AC D9 2A C2 4C DA 3D 41 08 4E
-3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D
-88 43 00 00 A4 3F 12 D8 03 42 57 31 84 12 4A DA
-00 00 68 DA 03 42 57 32 84 12 4A DA 00 00 74 DA
-03 42 57 33 84 12 4A DA 00 00 8C DA 3D 41 1A 42
-C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D
-8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
-03 46 57 31 84 12 8A DA 00 00 B0 DA 03 46 57 32
-84 12 8A DA 00 00 BC DA 03 46 57 33 84 12 8A DA
-00 00 C8 DA 04 47 4F 54 4F 00 87 12 F8 D8 C6 CB
-E4 C9 2A C2 38 DA 05 3F 47 4F 54 4F 87 12 16 DA
-C6 CB E4 C9 2A C2 D0 D1 09 7B 55 54 49 4C 49 54
-59 7D 30 4D F4 C7 02 2E 53 00 8F 4E FE FF 0E 4F
-2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
-3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00 EC C6
-82 C5 34 C2 08 00 EC C6 34 C2 3E 00 EC C6 38 C7
-8A C2 8A C2 C4 C3 EA C3 50 DB 62 C2 62 C2 2A C2
-F0 C3 28 C4 F2 C2 4E C5 34 C2 02 00 00 C4 52 DB
-2A C2 06 DB 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
-3E 40 E0 1C D3 3F D2 C8 01 3F 2E 4E 30 40 4E C5
-4A D2 05 57 4F 52 44 53 87 12 2C C7 34 C2 03 00
-4E C7 34 C2 CA 1D F2 C2 50 C4 34 C2 10 00 44 C2
-20 C3 38 CF 34 C2 00 00 44 C2 34 C2 10 00 44 C2
-20 C3 34 C2 00 00 F0 C3 44 C2 28 C4 50 C4 20 C3
-F2 C2 D2 C3 E0 C3 D6 DB 62 C2 62 C2 28 C4 44 C2
-50 C4 20 C3 F2 C2 34 C2 02 00 00 C4 B8 DB 54 C2
-E0 C3 18 DC 44 C2 34 C2 02 00 28 C3 F2 C2 9A C2
-50 C4 20 C3 FA C2 44 C2 36 CA 34 C2 7F 00 36 C3
-70 C7 08 C3 34 C2 0F 00 36 C3 34 C2 10 00 78 C2
-28 C3 4E C7 DC C3 A4 DB 62 C2 2A C2 32 CF 03 4D
-41 58 2E 9F 07 38 2F 53 30 4D 1E DC 03 4D 49 4E
-2E 9F F9 3B 3E 4F 30 4D 4A CE 03 55 2E 52 87 12
-B0 C2 9A C4 34 C2 00 00 A6 C4 FE C4 18 C5 BC C2
-8A C2 28 C3 34 C2 00 00 22 DC 4E C7 70 C7 2A C2
-9A CE 04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40
-10 00 DA 1D 2E 5F BF F0 F0 FF 00 00 B0 12 2A C2
-78 C2 F0 C3 2C C7 28 C4 34 C2 07 00 3E DC 38 C7
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C2 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C4 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 C4 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 C4 02 23 53 00 87 12 F6 C4 2E C5 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C5 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C4
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C4 02 55 2E 00 87 12 9A C4 34 C2 00 00
+28 C5 42 C5 A2 C7 6A C7 2A C2 68 C3 02 44 2E 00
+87 12 9A C4 78 C2 8A C2 6E C3 28 C5 9A C2 66 C5
+42 C5 A2 C7 6A C7 2A C2 50 C3 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C5 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C C3
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E C5
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C6 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 76 C6 30 4D BC C5
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 C4 03 4B
+45 59 30 40 16 C6 D0 C5 06 41 43 43 45 50 54 00
+3C 40 FA C6 3B 40 AC C6 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE C6 92 B3 DC 05
+05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
+90 C6 4D 15 B2 40 11 00 CE 05 E2 C2 03 02 A2 B3
+DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D2 03 02
+A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E C6 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 C6 0F 3C C6 C6 38 40 20 00 3D 52 0A 3C D0 C6
+78 42 3D 40 EE C6 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D F0 C6
+2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 C6 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C C5 04 45 4D 49 54 00 30 40
+10 C7 18 C7 04 45 43 48 4F 00 B2 40 82 48 E2 C6
+30 4D AA C5 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 C6 30 4D 08 C7 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 C5 02 43 52 00 30 40
+4C C7 60 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 C7 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C C7 EF 3F 8E C7 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 C5 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 C3 28 C4 08 C3 1E C7 12 C4 B2 C7 2A C2 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A C7 07 43 41 50 53 5F 4F 4E B2 43 AC 1D
+30 4D D4 C7 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 1D 30 4D 78 C7 82 53 22 00 87 12 34 C2 BE C7
+64 CA EE C7 34 C2 22 00 3E C8 DC C7 0E C8 3D 41
+6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63
+C4 1D 30 4D 34 C7 82 2E 22 00 87 12 FA C7 34 C2
+A2 C7 64 CA 2A C2 00 00 04 57 4F 52 44 00 3C 40
+BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 C2 34 40 14 C2 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A C4 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE C9 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 C9
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC C4
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 C7 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 C3 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E 00 00
+3E 4F 30 4D 62 CA 87 4C 49 54 45 52 41 4C 82 93
+B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40 34 C2
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 C7 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 82 43 C2 1D 87 12 90 C4 3E C8 D0 CA
+3D 40 DC CA E3 22 3D 41 3E 4F 30 4D DE CA 0A 4E
+3E 4F 3D 40 F4 CA 3B 27 3D 40 CA CA 1A E2 B6 1D
+B9 27 B3 23 F6 CA 3E 4F 3D 40 CA CA C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 CD
+CD 3F 52 CA 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A C2 BA CA
+32 CB B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D 3D 41
+30 4D 4C C4 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12 2A C2
+BE C7 03 0D 6F 6B A2 C7 6A C7 5A C4 44 C2 64 C4
+30 C6 6A C7 BA CA DE C2 92 C3 BE C7 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 CB 34 C2 30 FF
+C2 C5 D2 C3 BE C7 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 CB 86 C4 F2 C2 E0 C3 60 CB BE C7 03 0D
+20 20 DC C3 66 CB 28 C6 05 41 42 4F 52 54 3F 40
+80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 C6
+B0 12 46 D1 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
+E2 C2 03 02 92 C3 DC 05 38 40 F0 FF 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23 87 12
+BE C7 04 1B 5B 37 6D 00 A2 C7 A2 C7 BE C7 04 1B
+5B 30 6D 00 A2 C7 24 D0 82 D0 96 D0 E4 D0 DC C7
+BE CB B8 CB 86 41 42 4F 52 54 22 00 87 12 FA C7
+34 C2 C4 CB 64 CA 2A C2 96 C8 01 27 87 12 90 C4
+3E C8 9C C8 E0 C3 4A CC 2A C2 FE CA 96 C4 81 5C
+92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43 B6 1D
+30 4D 4E CC 01 5D B2 43 B6 1D 30 4D 5A CC 83 5B
+27 5D 87 12 3C CC 34 C2 34 C2 64 CA 64 CA 2A C2
+BE 4F 02 00 3E 4F 30 4D 46 C7 82 49 53 00 87 12
+86 C4 F2 C2 E0 C3 A2 CC 72 CC 34 C2 80 CC 64 CA
+2A C2 3C CC 80 CC 2A C2 8A CC 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00 30 4D
+F6 C7 87 52 45 43 55 52 53 45 19 42 C4 1D 99 42
+B2 1D 00 00 A2 53 C4 1D 30 4D 44 CB 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 C4 3E C8 9C C8 54 C2
+E0 C3 4A CC 92 C3 E0 C3 06 CD 34 C2 34 C2 64 CA
+64 CA 34 C2 64 CA 64 CA 2A C2 82 9F B4 1D A0 24
+87 12 BE C7 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA CB 87 12 1A C8 90 C4 3E C8 30 CD
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D 82 4A
+B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41 38 C8
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 CD BA 40
+86 12 FC FF 71 3C A6 CA 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 CD BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 CD 06 43 52 45 41 54 45 00 B0 12
+26 CD BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 CB
+05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 CD 05 44 45 46 45 52
+B0 12 26 CD BA 40 30 40 FC FF BA 40 C6 CD FE FF
+3B 3C 6E CC 01 3A B0 12 26 CD BA 40 87 12 FC FF
+A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D E4 CD
+81 3B 82 93 B6 1D 24 27 87 12 34 C2 2A C2 64 CA
+0A CD 5C CC 2A C2 18 CE 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 CA 06 4D 41 52 4B 45 52 00
+B0 12 26 CD BA 40 84 12 FC FF BA 40 16 CE FE FF
+9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52 C4 1D
+18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48 00 00
+30 4D AA CC 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 1D A2 52 C4 1D BE 40 E0 C3 00 00 2E 53 30 4D
+CA CD 84 45 4C 53 45 00 A2 52 C4 1D 1A 42 C4 1D
+BA 40 DC C3 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C C7 84 54 48 45 4E 00 9E 42 C4 1D 00 00 3E 4F
+30 4D 96 CD 85 42 45 47 49 4E 30 40 C2 C5 A2 CE
+85 55 4E 54 49 4C 39 40 E0 C3 A2 52 C4 1D 1A 42
+C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 CC
+85 41 47 41 49 4E 39 40 DC C3 EF 3F 60 CD 85 57
+48 49 4C 45 87 12 68 CE 78 C2 2A C2 C2 CC 86 52
+45 50 45 41 54 00 87 12 E6 CE A8 CE 2A C2 82 CE
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D 1E 42
+C4 1D BE 40 F0 C3 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D 28 CE 84 4C 4F 4F 50 00 39 40
+12 C4 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E C6 85 2B 4C 4F
+4F 50 39 40 00 C4 E5 3F 38 CF 85 4C 45 41 56 45
+1A 42 C4 1D BA 40 22 C4 00 00 BA 40 DC C3 02 00
+B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 7A CF 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE CE
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E CD
+34 C2 10 00 34 C2 00 00 F0 C3 34 C2 00 00 64 CA
+12 C4 FA CF C2 C5 34 C2 C6 1D 44 C2 F2 C2 64 CA
+FA C2 B6 CD 34 C2 CA 1D FA C2 2A C2 3A CC 05 46
+4F 52 54 48 84 12 14 D0 E6 D4 BC D4 6C DF 36 DF
+F0 DD 6C CF 1E DD 56 DC 08 D1 7A DF 2C DD 74 DC
+46 C3 E0 DD 64 CC 6A DC 00 00 E0 CE 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D B6 3F
+DC CC 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 1D 38 40 CA 1D A3 3F 26 C8 04 4F 4E 4C
+59 00 82 43 CC 1D 30 4D 10 CF 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D 24 D0
+82 D0 96 D0 A6 D0 3A 4E 82 4A C6 1D 2E 4E 82 4E
+C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 D0 09 50 57 52 5F 53
+54 41 54 45 84 12 9E D0 A0 D4 9E DF FE CE 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 D0 92 42
+0C 18 EA D0 EF 3F DA D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D E8 D0 92 42 C4 1D EA D0 30 4D
+EE D0 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
+0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 D4 D2 38 D3
+B2 40 9E C6 92 C6 B2 40 10 C7 20 C7 B2 40 4C C7
+60 C7 B2 40 16 C6 24 C6 82 43 62 DB 82 43 6E DB
+82 43 7A DB 82 43 AA DB 82 43 B6 DB 82 43 C2 DB
+B2 40 0A 00 DA 1D 30 41 E0 CF 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 CE FF FA 23
+B0 12 3A D1 B2 40 9E DF 0C 18 B2 40 A0 D4 0E 18
+AB 3F B4 CE 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 CE 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 D1 86 5B 45 4C 53 45 5D 00 87 12
+34 C2 01 00 90 C4 3E C8 AC CA 44 C2 E0 C3 5C D2
+8A C2 8A C2 BE C7 04 5B 49 46 5D 00 AC D1 EA C3
+1A D2 FC C8 2C C3 DC C3 50 D2 8A C2 8A C2 BE C7
+06 5B 45 4C 53 45 5D 00 AC D1 EA C3 3E D2 FC C8
+E0 D1 44 C2 E0 C3 3A D2 2C C3 DC C3 50 D2 BE C7
+06 5B 54 48 45 4E 5D 00 AC D1 EA C3 50 D2 E0 D1
+54 C2 EA C3 58 D2 2A C2 DC C3 F4 D1 FC C8 BE C7
+03 0D 6B 6F A2 C7 6A C7 5A C4 44 C2 64 C4 30 C6
+72 D2 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 F4 D1 30 4D E6 D1 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 D2 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 C4 3E C8 9C C8 6C C2 86 C3
+2A C2 98 D2 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 C4 3E C8 9C C8 6C C2 2A C2 64 CE 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE C7 06 0D 1B 5B
+37 6D 23 00 A2 C7 AC C5 BE C7 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 32 34 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 C7 34 C2 30 FF C2 C5 28 C3 78 C5 BE C7
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 CB 7A D1
+04 57 41 52 4D 00 30 40 D4 D2 A4 D1 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 92 D3 30 01 B2 40 88 5A
+5C 01 F2 D0 10 00 16 02 B2 D0 00 84 04 02 B2 D0
+FF 7F 06 02 B2 40 FF 7F 02 02 F2 D0 07 00 36 02
+B2 D0 F0 00 04 02 B2 40 0F FF 02 02 B2 D0 0F FF
+06 02 F2 D0 0F 00 24 03 F2 40 F0 00 22 03 F2 D0
+F0 00 26 03 F2 40 A5 00 61 01 B2 40 86 00 62 01
+82 43 66 01 39 40 C0 00 B2 40 33 00 64 01 D2 43
+61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
+38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
+92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04
+3A 40 48 D3 39 40 CE FF 89 4A 00 00 29 53 FC 23
+92 42 02 18 F0 FF B2 40 18 00 0A 18 31 40 E0 1C
+3F 40 80 1C 37 40 00 C2 36 40 BC C2 35 40 08 C2
+34 40 14 C2 B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3
+30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3 18 53
+82 48 08 18 B2 40 81 00 C0 05 B2 40 0D 00 C6 05
+B2 40 01 49 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05
+92 D3 DA 05 3D 40 6E D4 18 42 08 18 38 90 0A 00
+8F 26 38 90 16 00 8C 2E 28 93 46 22 3B 26 36 D3
+4C D0 09 41 53 53 45 4D 42 4C 45 52 84 12 14 D0
+C6 DA 72 DB 7A DA C6 DB 40 DA FA DA 44 D7 00 00
+36 DA E6 DA 98 DA D6 DA 54 D8 00 00 00 00 D8 DB
+48 D0 CC D2 85 48 49 32 4C 4F 87 12 C2 C5 7C CE
+64 CA 5C CC 52 D0 7C D4 2A C2 3C D3 04 43 4F 44
+45 00 B0 12 26 CD A2 82 C4 1D 87 12 F8 CD DC C3
+B4 D4 00 00 07 45 4E 44 43 4F 44 45 87 12 6C D0
+0A CD 2A C2 72 D4 03 41 53 4D 92 42 C8 1D B8 1D
+B2 40 80 D4 C8 1D E5 3F D4 D4 06 45 4E 44 41 53
+4D 00 92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C
+4F 4E 1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D
+B2 43 B6 1D 30 40 6C D0 A4 C4 05 4C 4F 32 48 49
+1A 42 C4 1D BA 40 B0 12 00 00 BA 40 2A C2 02 00
+A2 52 C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A
+1A 52 C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
+2A 88 82 4A C2 1D 30 4D B0 12 2A C2 3E C8 9C C8
+EA C3 7C D5 5E C9 E0 C3 4A CC 9E D5 7E D5 29 4E
+39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
+1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
+19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00
+12 12 C2 1D 92 53 C2 1D B0 12 2A C2 3E C8 5E C9
+E0 C3 D0 D5 C6 D5 21 53 3E 90 10 00 BB 2D 30 41
+D2 D5 B2 41 C2 1D 22 D3 30 41 87 12 90 C4 46 D5
+E2 D5 82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D
+0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D
+B0 12 68 D5 0E 93 04 20 B2 40 00 03 BC 1D 27 3C
+1E 93 04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20
+B2 40 20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02
+BC 1D 15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C
+3E 93 04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00
+BC 1D 19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F
+3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
+BC 1D 92 53 C2 1D 30 12 52 D6 76 3F FA 90 40 00
+00 00 1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12
+B0 D5 0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12
+B0 D5 32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D
+8E 10 82 5E BC 1D D3 3F B0 12 B0 D5 F9 23 B2 50
+10 00 BC 1D 3E 40 28 00 B0 12 68 D5 30 12 A2 D6
+67 3F 87 12 90 C4 46 D5 DA D6 FE 90 26 00 00 00
+3E 40 20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12
+B0 D5 DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12
+68 D5 B0 12 A0 D5 D5 23 3D 40 4A CC 30 4D 8A C6
+04 52 45 54 49 00 87 12 34 C2 00 13 64 CA 2A C2
+34 C2 2C 00 DA D5 D2 D6 2A D7 2E 4E 1E D2 BC 1D
+19 42 BA 1D 92 3F 2A D5 03 4D 4F 56 84 12 20 D7
+00 40 38 D7 05 4D 4F 56 2E 42 84 12 20 D7 40 40
+00 00 03 41 44 44 84 12 20 D7 00 50 52 D7 05 41
+44 44 2E 42 84 12 20 D7 40 50 5E D7 04 41 44 44
+43 00 84 12 20 D7 00 60 6C D7 06 41 44 44 43 2E
+42 00 84 12 20 D7 40 60 10 D7 04 53 55 42 43 00
+84 12 20 D7 00 70 8A D7 06 53 55 42 43 2E 42 00
+84 12 20 D7 40 70 98 D7 03 53 55 42 84 12 20 D7
+00 80 A8 D7 05 53 55 42 2E 42 84 12 20 D7 40 80
+0C D5 03 43 4D 50 84 12 20 D7 00 90 C2 D7 05 43
+4D 50 2E 42 84 12 20 D7 40 90 FA D4 04 44 41 44
+44 00 84 12 20 D7 00 A0 DC D7 06 44 41 44 44 2E
+42 00 84 12 20 D7 40 A0 CE D7 03 42 49 54 84 12
+20 D7 00 B0 FA D7 05 42 49 54 2E 42 84 12 20 D7
+40 B0 06 D8 03 42 49 43 84 12 20 D7 00 C0 14 D8
+05 42 49 43 2E 42 84 12 20 D7 40 C0 20 D8 03 42
+49 53 84 12 20 D7 00 D0 2E D8 05 42 49 53 2E 42
+84 12 20 D7 40 D0 00 00 03 58 4F 52 84 12 20 D7
+00 E0 48 D8 05 58 4F 52 2E 42 84 12 20 D7 40 E0
+7A D7 03 41 4E 44 84 12 20 D7 00 F0 62 D8 05 41
+4E 44 2E 42 84 12 20 D7 40 F0 90 C4 DA D5 80 D8
+1A 42 BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00
+82 DA BC 1D 4A 3F B4 D7 03 52 52 43 84 12 7A D8
+00 10 98 D8 05 52 52 43 2E 42 84 12 7A D8 40 10
+A4 D8 04 53 57 50 42 00 84 12 7A D8 80 10 B2 D8
+03 52 52 41 84 12 7A D8 00 11 C0 D8 05 52 52 41
+2E 42 84 12 7A D8 40 11 CC D8 03 53 58 54 84 12
+7A D8 80 11 00 00 04 50 55 53 48 00 84 12 7A D8
+00 12 E6 D8 06 50 55 53 48 2E 42 00 84 12 7A D8
+40 12 3A D8 04 43 41 4C 4C 00 84 12 7A D8 80 12
+34 C2 2C 00 DA D5 D2 D6 1A D9 59 42 BC 1D 5A 42
+BD 1D 82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89
+02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
+1A 53 0E 4A 87 12 AC C5 BE C7 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 CA CB F4 D8 05 50 55 53
+48 4D 84 12 10 D9 00 15 5C D9 04 50 4F 50 4D 00
+84 12 10 D9 00 17 90 C4 46 D5 7C D9 82 43 BC 1D
+92 42 C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40
+2C 00 B0 12 2A C2 3E C8 5E C9 E0 C3 4A CC D2 D6
+A2 D9 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
+6F 3F DA D8 04 52 52 43 4D 00 84 12 76 D9 50 00
+B4 D9 04 52 52 41 4D 00 84 12 76 D9 50 01 C2 D9
+04 52 4C 41 4D 00 84 12 76 D9 50 02 D0 D9 04 52
+52 55 4D 00 84 12 76 D9 50 03 85 12 00 3C DE D9
+03 53 3E 3D 85 12 00 38 F0 D9 02 53 3C 00 85 12
+00 34 6A D9 03 30 3E 3D 85 12 00 30 04 DA 02 30
+3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
+18 DA 03 55 3E 3D 85 12 00 28 0E DA 03 30 3C 3E
+85 12 00 24 2C DA 02 30 3D 00 85 12 00 20 96 C6
+02 49 46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D
+0E 4A 30 4D 22 DA 04 54 48 45 4E 00 1A 42 C4 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+68 2F 88 DA 00 00 30 4D EA D7 04 45 4C 53 45 00
+1A 42 C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83
+8F 4A 00 00 E3 3F 56 DA 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90
+00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C4 1D 30 4D 6E D8 05 41 47 41 49 4E 87 12 EA D9
+9E DA 2A C2 00 00 05 57 48 49 4C 45 87 12 44 DA
+78 C2 2A C2 FA D9 06 52 45 50 45 41 54 00 87 12
+EA D9 9E DA 5C DA 2A C2 00 00 03 4A 4D 50 87 12
+3C CC EA D9 9E DA 2A C2 3E B0 00 10 03 20 3E E0
+00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
+30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
+87 12 08 DB 3C CC 78 C2 9E DA 2A C2 3E DB 3D 41
+08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00
+30 4D 88 43 00 00 A4 3F 04 D9 03 42 57 31 84 12
+3C DB 00 00 5A DB 03 42 57 32 84 12 3C DB 00 00
+66 DB 03 42 57 33 84 12 3C DB 00 00 7E DB 3D 41
+1A 42 C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53
+C4 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
+00 00 03 46 57 31 84 12 7C DB 00 00 A2 DB 03 46
+57 32 84 12 7C DB 00 00 AE DB 03 46 57 33 84 12
+7C DB 00 00 BA DB 04 47 4F 54 4F 00 87 12 EA D9
+3C CC 5A CA 2A C2 2A DB 05 3F 47 4F 54 4F 87 12
+08 DB 3C CC 5A CA 2A C2 B4 D2 09 7B 55 54 49 4C
+49 54 59 7D 30 4D 7C D0 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00
+1E C7 AC C5 34 C2 08 00 1E C7 34 C2 3E 00 1E C7
+6A C7 8A C2 8A C2 C4 C3 EA C3 42 DC 62 C2 62 C2
+2A C2 F0 C3 28 C4 F2 C2 78 C5 34 C2 02 00 00 C4
+44 DC 2A C2 F8 DB 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 1C D3 3F 04 C9 01 3F 2E 4E 30 40
+78 C5 30 D3 05 57 4F 52 44 53 87 12 5E C7 34 C2
+03 00 80 C7 34 C2 CA 1D F2 C2 50 C4 34 C2 10 00
+44 C2 20 C3 AE CF 34 C2 00 00 44 C2 34 C2 10 00
+44 C2 20 C3 34 C2 00 00 F0 C3 44 C2 28 C4 50 C4
+20 C3 F2 C2 D2 C3 E0 C3 C8 DC 62 C2 62 C2 28 C4
+44 C2 50 C4 20 C3 F2 C2 34 C2 02 00 00 C4 AA DC
+54 C2 E0 C3 0A DD 44 C2 34 C2 02 00 28 C3 F2 C2
+9A C2 50 C4 20 C3 FA C2 44 C2 AC CA 34 C2 7F 00
+36 C3 A2 C7 08 C3 34 C2 0F 00 36 C3 34 C2 10 00
+78 C2 28 C3 80 C7 DC C3 96 DC 62 C2 2A C2 A8 CF
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D 10 DD 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D C0 CE 03 55 2E 52
+87 12 B0 C2 9A C4 34 C2 00 00 F6 C4 28 C5 42 C5
+BC C2 8A C2 28 C3 34 C2 00 00 14 DD 80 C7 A2 C7
+2A C2 8A D0 04 44 55 4D 50 00 0D 12 12 12 DA 1D
+B2 40 10 00 DA 1D 2E 5F B0 12 2A C2 78 C2 8A C2
+8A C2 78 C5 34 C2 01 00 28 C3 78 C5 34 C2 F0 FF
+36 C3 F0 C3 5E C7 28 C4 34 C2 07 00 30 DD 6A C7
 28 C4 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3
-34 C2 03 00 3E DC 12 C4 9C DC 38 C7 38 C7 28 C4
+34 C2 03 00 30 DD 12 C4 9C DD 6A C7 6A C7 28 C4
 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2
-7E 00 30 DC 90 C4 22 DC EC C6 12 C4 BA DC 34 C2
-10 00 00 C4 84 DC BC C2 7A C4 FA C2 2A C2
+7E 00 22 DD 90 C4 14 DD 1E C7 12 C4 BA DD 34 C2
+10 00 00 C4 84 DD BC C2 7A C4 FA C2 2A C2 EA DB
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D A4 D4
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 1D 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 AA 1D
+3E 4F 30 4D 1E D0 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D 16 DE 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D 24 DE 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 36 DE 03 46 23 53 2F 83 8F 4E
+00 00 2B 42 B2 90 0A 00 DA 1D 01 20 1B 53 0C 43
+A2 4F C0 04 92 42 DA 1D C8 04 9F 42 E4 04 00 00
+1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 CC 4E 88 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43
+00 00 39 40 88 1D 78 3F B8 DE 02 46 2A 00 92 4F
+04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E
+E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42
+E8 04 30 4D 0A DF 02 46 2E 00 87 12 9A C4 44 C2
+B0 C2 6E C3 78 C2 BC DE 34 C2 2C 00 58 C5 28 C5
+BC C2 66 C5 42 C5 A2 C7 6A C7 2A C2 22 D1 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 54 DD 03 44 3E 46
+2E 4F 8F 43 00 00 30 4D 5E DF 09 32 43 4F 4E 53
+54 41 4E 54 87 12 9E CD 78 C2 64 CA 64 CA B6 CD
+92 DF 2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFCE
-62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2
-62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2
-62 D2 8A C6 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2
-62 D2
+48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3
+48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3
+48 D3 A4 C6 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3
+48 D3
 q
diff --git a/MSP_EXP430FR5739_6Mbds.txt b/MSP_EXP430FR5739_6Mbds.txt
new file mode 100644 (file)
index 0000000..b91e21f
--- /dev/null
@@ -0,0 +1,490 @@
+@1800
+10 00 98 C6 C0 5D 60 EA 05 00 18 00 8E DF 90 D4
+64 C6 70 C6 00 00 00 00
+@1DAA
+00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
+00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
+00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
+00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
+00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
+00 00 00 00 00 00
+@C200
+3A 41 0D 12 0D 4A 30 4D 2F 83 8F 4E 00 00 3E 41
+2E 4E 30 4D 2F 83 8F 4E 00 00 3E 41 0D 12 3D 4E
+30 4D 00 00 04 45 58 49 54 00 3D 41 30 4D 00 00
+03 4C 49 54 2F 83 8F 4E 00 00 3E 4D 30 4D 24 C2
+03 44 55 50 2F 83 8F 4E 00 00 30 4D 00 00 04 3F
+44 55 50 00 0E 93 F6 23 30 4D 40 C2 04 44 52 4F
+50 00 3E 4F 30 4D 00 00 03 4E 49 50 2F 53 30 4D
+00 00 04 53 57 41 50 00 2A 4F 8F 4E 00 00 0E 4A
+30 4D 68 C2 04 4F 56 45 52 00 8F 4E FE FF 2E 4F
+2F 83 30 4D 72 C2 03 52 4F 54 2A 4F 8F 4E 00 00
+1E 4F 02 00 8F 4A 02 00 30 4D 4E C2 02 3E 52 00
+0E 12 3E 4F 30 4D 96 C2 02 52 3E 00 2F 83 8F 4E
+00 00 3E 41 30 4D B8 C2 02 52 40 00 2F 83 8F 4E
+00 00 2E 41 30 4D 5C C2 05 44 45 50 54 48 8F 4E
+FE FF 3E 40 80 1C 0E 8F 2F 83 0E 11 30 4D 00 00
+01 40 2E 4E 30 4D F0 C2 01 21 BE 4F 00 00 3E 4F
+30 4D 00 00 02 43 40 00 6E 4E 30 4D 04 C3 02 43
+21 00 FE 4F 00 00 1F 53 3E 4F 30 4D 00 00 01 2B
+3E 5F 30 4D 30 C2 01 2D 3E 8F 3E E3 1E 53 30 4D
+F8 C2 03 41 4E 44 3E FF 30 4D 84 C2 02 4F 52 00
+3E DF 30 4D 00 00 03 58 4F 52 3E EF 30 4D 3C C3
+06 4E 45 47 41 54 45 00 E8 3F 32 C3 03 41 42 53
+0E 93 E3 33 30 4D D8 C2 04 44 41 42 53 00 3E F3
+06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
+00 00 02 30 3D 00 1E 83 0E 7E 30 4D 82 C3 02 30
+3C 00 0E 5E 0E 7E 3E E3 30 4D 8E C3 02 30 3E 00
+1E 93 05 34 0B 3C 00 00 01 3D 3E 8F 07 20 3E 43
+30 4D A8 C3 01 3C 3A 4F 0A 8E F9 3B 0E 43 30 4D
+AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
+3C 00 3A 4F 0A 8E EB 2B 0E 43 30 4D 2D 4D 30 4D
+0E 93 3E 4F FB 27 2D 53 30 4D 1E 83 0E 7E F9 3F
+39 40 00 80 39 8F 08 4E 3E 4F 08 59 19 15 30 4D
+81 5E 00 00 3E 4F 32 B0 00 01 E8 27 2D 53 21 52
+30 4D 91 53 00 00 F7 3F CE C3 06 55 4E 4C 4F 4F
+50 00 F5 3F 00 00 01 49 2F 83 8F 4E 00 00 2E 41
+1E 81 02 00 30 4D 1E C3 01 4A 2F 83 8F 4E 00 00
+1E 41 04 00 1E 81 06 00 30 4D 9C C3 03 50 41 44
+85 12 E2 1C 1A C4 03 54 49 42 85 12 36 1D 0E C3
+03 43 50 4C 85 12 52 00 C2 C3 03 3E 49 4E 85 12
+C2 1D 60 C4 04 42 41 53 45 00 85 12 DA 1D C8 C2
+05 53 54 41 54 45 85 12 B6 1D 74 C4 02 42 4C 00
+85 12 20 00 B4 C3 02 3C 23 00 B2 40 AA 1D AA 1D
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C2 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C4 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 C4 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 C4 02 23 53 00 87 12 F6 C4 2E C5 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C5 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C4
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C4 02 55 2E 00 87 12 9A C4 34 C2 00 00
+28 C5 42 C5 96 C7 5E C7 2A C2 68 C3 02 44 2E 00
+87 12 9A C4 78 C2 8A C2 6E C3 28 C5 9A C2 66 C5
+42 C5 96 C7 5E C7 2A C2 50 C3 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C5 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C C3
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E C5
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C6 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 70 C6 30 4D BC C5
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 C4 03 4B
+45 59 30 40 16 C6 D0 C5 06 41 43 43 45 50 54 00
+3C 40 E8 C6 3B 40 A0 C6 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 DC C6 92 B3 DC 05
+05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
+84 C6 4D 15 B2 40 11 00 CE 05 E2 C2 03 02 30 41
+B2 40 13 00 CE 05 E2 D2 03 02 30 41 00 00 05 53
+4C 45 45 50 30 40 92 C6 00 00 07 28 53 4C 45 45
+50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05
+48 9B E6 27 48 9C 12 2C 78 92 1A 20 2E 9F 18 24
+1E 83 3D 40 B8 C6 0F 3C BA C6 38 40 20 00 3D 52
+0A 3C C4 C6 78 42 3D 40 DC C6 05 3C 0E 9A 03 24
+CE 48 00 00 1E 53 82 48 CE 05 30 4D DE C6 2D 83
+92 B3 DC 05 FD 27 DA 23 B2 40 18 00 0A 18 3E 8F
+3D 41 30 4D 10 C6 06 28 45 4D 49 54 29 00 08 4E
+3E 4F A2 B3 DC 05 FD 27 E6 3F 8C C5 04 45 4D 49
+54 00 30 40 FE C6 0C C7 04 45 43 48 4F 00 B2 40
+82 48 D6 C6 30 4D AA C5 06 4E 4F 45 43 48 4F 00
+B2 40 30 4D D6 C6 30 4D F6 C6 04 28 43 52 29 00
+2F 83 8F 4E 00 00 3E 40 0D 00 E3 3F E0 C5 02 43
+52 00 30 40 40 C7 60 C5 05 53 50 41 43 45 2F 83
+8F 4E 00 00 3E 40 20 00 D4 3F 58 C7 06 53 50 41
+43 45 53 00 0E 93 09 24 0D 12 3D 40 80 C7 EF 3F
+82 C7 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D 74 C5
+04 54 59 50 45 00 0E 93 AB 24 2A 4F 8F 5E 00 00
+0E 4A 87 12 F0 C3 28 C4 08 C3 12 C7 12 C4 A6 C7
+2A C2 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E
+1D B3 0D 63 30 4D 4E C7 07 43 41 50 53 5F 4F 4E
+B2 43 AC 1D 30 4D C8 C7 08 43 41 50 53 5F 4F 46
+46 00 82 43 AC 1D 30 4D 6C C7 82 53 22 00 87 12
+34 C2 B2 C7 58 CA E2 C7 34 C2 22 00 32 C8 D0 C7
+02 C8 3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3
+C4 1D A2 63 C4 1D 30 4D 28 C7 82 2E 22 00 87 12
+EE C7 34 C2 96 C7 58 CA 2A C2 00 00 04 57 4F 52
+44 00 3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C
+09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C
+00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C
+F6 2F 82 93 AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80
+20 00 ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D
+08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00
+2F 83 0C 4E 65 4C 74 40 80 00 3B 40 CA 1D 3E 4B
+0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53
+1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95
+F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23
+19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83
+8F 4C 00 00 35 40 08 C2 34 40 14 C2 30 4D 2F 53
+2F 53 3E 4F 30 4D 6A C4 07 3E 4E 55 4D 42 45 52
+3C 4F 38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80
+30 00 7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00
+13 28 0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B
+C8 04 19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53
+1E 83 E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00
+30 4D 0C 43 1B 42 DA 1D 32 C0 00 02 2D 15 09 43
+08 43 3D 40 A2 C9 3F 82 8F 4E 06 00 0C 4E 7E 4C
+6A 4C 7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24
+3B 52 6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53
+1E 83 6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00
+CE 3F A4 C9 0E 93 2F 24 32 D0 00 02 FC 90 2E 00
+00 00 02 20 2D 83 C3 3F FC 90 2C 00 00 00 23 20
+0A 4E 09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49
+39 80 30 00 79 90 0A 00 05 28 79 80 07 00 79 90
+0A 00 0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15
+B0 12 AC C4 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F
+04 00 0E 4A 4E 93 2B 17 0E 4C 82 4B DA 1D 04 24
+3F 50 06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00
+BF 4F 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3
+00 00 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20
+2F 53 30 4D 18 C7 07 45 58 45 43 55 54 45 0A 4E
+3E 4F 00 4A 26 C3 01 2C 1A 42 C4 1D A2 53 C4 1D
+8A 4E 00 00 3E 4F 30 4D 56 CA 87 4C 49 54 45 52
+41 4C 82 93 B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D
+BA 40 34 C2 00 00 8A 4E 02 00 3E 4F 32 B0 00 02
+32 C0 00 02 F1 23 30 4D D8 C7 05 43 4F 55 4E 54
+2F 83 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E
+BE 1D B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 C4
+32 C8 C4 CA 3D 40 D0 CA E3 22 3D 41 3E 4F 30 4D
+D2 CA 0A 4E 3E 4F 3D 40 E8 CA 3B 27 3D 40 BE CA
+1A E2 B6 1D B9 27 B3 23 EA CA 3E 4F 3D 40 BE CA
+C0 23 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00
+3D 40 18 CD CD 3F 46 CA 08 45 56 41 4C 55 41 54
+45 00 39 40 BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12
+2A C2 AE CA 26 CB B2 41 C2 1D B2 41 C0 1D B2 41
+BE 1D 3D 41 30 4D 4C C4 04 51 55 49 54 00 31 40
+E0 1C B2 40 00 1C 00 1C 82 43 B6 1D 82 43 08 18
+B0 12 2A C2 B2 C7 03 0D 6F 6B 96 C7 5E C7 5A C4
+44 C2 64 C4 30 C6 5E C7 AE CA DE C2 92 C3 B2 C7
+0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20 B8 CB
+34 C2 30 FF C2 C5 D2 C3 B2 C7 0B 46 52 41 4D 20
+66 75 6C 6C 21 20 B8 CB 86 C4 F2 C2 E0 C3 54 CB
+B2 C7 03 0D 20 20 DC C3 5A CB 28 C6 05 41 42 4F
+52 54 3F 40 80 1C C3 3F 8F 93 02 00 98 26 B2 40
+82 48 D6 C6 B0 12 3A D1 A2 B3 DC 05 FD 27 B2 40
+11 00 CE 05 E2 C2 03 02 92 C3 DC 05 38 40 F0 FF
+39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05
+F3 23 87 12 B2 C7 04 1B 5B 37 6D 00 96 C7 96 C7
+B2 C7 04 1B 5B 30 6D 00 96 C7 18 D0 76 D0 8A D0
+D8 D0 D0 C7 B2 CB AC CB 86 41 42 4F 52 54 22 00
+87 12 EE C7 34 C2 B8 CB 58 CA 2A C2 8A C8 01 27
+87 12 90 C4 32 C8 90 C8 E0 C3 3E CC 2A C2 F2 CA
+96 C4 81 5C 92 42 BE 1D C2 1D 30 4D 00 00 81 5B
+82 43 B6 1D 30 4D 42 CC 01 5D B2 43 B6 1D 30 4D
+4E CC 83 5B 27 5D 87 12 30 CC 34 C2 34 C2 58 CA
+58 CA 2A C2 BE 4F 02 00 3E 4F 30 4D 3A C7 82 49
+53 00 87 12 86 C4 F2 C2 E0 C3 96 CC 66 CC 34 C2
+74 CC 58 CA 2A C2 30 CC 74 CC 2A C2 7E CC 09 49
+4D 4D 45 44 49 41 54 45 1A 42 AE 1D FA D0 80 00
+00 00 30 4D EA C7 87 52 45 43 55 52 53 45 19 42
+C4 1D 99 42 B2 1D 00 00 A2 53 C4 1D 30 4D 38 CB
+88 50 4F 53 54 50 4F 4E 45 00 87 12 90 C4 32 C8
+90 C8 54 C2 E0 C3 3E CC 92 C3 E0 C3 FA CC 34 C2
+34 C2 58 CA 58 CA 34 C2 58 CA 58 CA 2A C2 82 9F
+B4 1D A0 24 87 12 B2 C7 0F 73 74 61 63 6B 20 6D
+69 73 6D 61 74 63 68 21 BE CB 87 12 0E C8 90 C4
+32 C8 24 CD 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42
+C8 1D 6E 4E 3E F0 1E 00 09 5E 82 48 AE 1D 82 49
+B0 1D 82 4A B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41
+30 41 2C C8 08 56 41 52 49 41 42 4C 45 00 B0 12
+1A CD BA 40 86 12 FC FF 71 3C 9A CA 08 43 4F 4E
+53 54 41 4E 54 00 B0 12 1A CD BA 40 85 12 FC FF
+8A 4E FE FF 3E 4F 62 3C 6C CD 06 43 52 45 41 54
+45 00 B0 12 1A CD BA 40 85 12 FC FF 8A 4A FE FF
+55 3C 08 CB 05 44 4F 45 53 3E 1A 42 B2 1D BA 40
+84 12 00 00 8A 4D 02 00 3D 41 30 4D A4 CD 05 44
+45 46 45 52 B0 12 1A CD BA 40 30 40 FC FF BA 40
+BA CD FE FF 3B 3C 62 CC 01 3A B0 12 1A CD BA 40
+87 12 FC FF A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D
+30 4D D8 CD 81 3B 82 93 B6 1D 24 27 87 12 34 C2
+2A C2 58 CA FE CC 50 CC 2A C2 0C CE 3D 41 B2 4E
+0E 18 A2 4E 0C 18 3E 4F 69 3D 6A CA 06 4D 41 52
+4B 45 52 00 B0 12 1A CD BA 40 84 12 FC FF BA 40
+0A CE FE FF 9A 42 C6 1D 00 00 28 83 8A 48 02 00
+A2 52 C4 1D 18 42 AE 1D 19 42 B0 1D A8 49 FE FF
+89 48 00 00 30 4D 9E CC 82 49 46 00 2F 83 8F 4E
+00 00 1E 42 C4 1D A2 52 C4 1D BE 40 E0 C3 00 00
+2E 53 30 4D BE CD 84 45 4C 53 45 00 A2 52 C4 1D
+1A 42 C4 1D BA 40 DC C3 FC FF 8E 4A 00 00 2A 83
+0E 4A 30 4D 90 C7 84 54 48 45 4E 00 9E 42 C4 1D
+00 00 3E 4F 30 4D 8A CD 85 42 45 47 49 4E 30 40
+C2 C5 96 CE 85 55 4E 54 49 4C 39 40 E0 C3 A2 52
+C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F
+30 4D 18 CC 85 41 47 41 49 4E 39 40 DC C3 EF 3F
+54 CD 85 57 48 49 4C 45 87 12 5C CE 78 C2 2A C2
+B6 CC 86 52 45 50 45 41 54 00 87 12 DA CE 9C CE
+2A C2 76 CE 82 44 4F 00 2F 83 8F 4E 00 00 A2 53
+C4 1D 1E 42 C4 1D BE 40 F0 C3 FE FF A2 53 00 1C
+1A 42 00 1C 8A 43 00 00 30 4D 1C CE 84 4C 4F 4F
+50 00 39 40 12 C4 A2 52 C4 1D 1A 42 C4 1D 8A 49
+FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C 2E 4E
+0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D 1E C6
+85 2B 4C 4F 4F 50 39 40 00 C4 E5 3F 2C CF 85 4C
+45 41 56 45 1A 42 C4 1D BA 40 22 C4 00 00 BA 40
+DC C3 02 00 B2 50 06 00 C4 1D A2 53 00 1C 2A 52
+19 42 00 1C 89 4A 00 00 30 4D 6E CF 04 4D 4F 56
+45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99
+0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D
+08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23
+30 4D E2 CE 0A 56 4F 43 41 42 55 4C 41 52 59 00
+87 12 92 CD 34 C2 10 00 34 C2 00 00 F0 C3 34 C2
+00 00 58 CA 12 C4 EE CF C2 C5 34 C2 C6 1D 44 C2
+F2 C2 58 CA FA C2 AA CD 34 C2 CA 1D FA C2 2A C2
+2E CC 05 46 4F 52 54 48 84 12 08 D0 D6 D4 AC D4
+5C DF 26 DF E0 DD 60 CF 0E DD 46 DC FC D0 6A DF
+1C DD 64 DC 46 C3 D0 DD 58 CC 5A DC 00 00 D4 CE
+04 41 4C 53 4F 00 3A 40 0E 00 39 40 CA 1D 38 40
+CC 1D B6 3F D0 CC 08 50 52 45 56 49 4F 55 53 00
+3A 40 0E 00 39 40 CC 1D 38 40 CA 1D A3 3F 1A C8
+04 4F 4E 4C 59 00 82 43 CC 1D 30 4D 04 CF 0B 44
+45 46 49 4E 49 54 49 4F 4E 53 92 42 CA 1D C8 1D
+30 4D 18 D0 76 D0 8A D0 9A D0 3A 4E 82 4A C6 1D
+2E 4E 82 4E C4 1D 3D 40 10 00 09 4A 08 49 29 83
+18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23
+2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D 56 D0 09 50
+57 52 5F 53 54 41 54 45 84 12 92 D0 90 D4 8E DF
+F2 CE 09 52 53 54 5F 53 54 41 54 45 92 42 0E 18
+DC D0 92 42 0C 18 DE D0 EF 3F CE D0 08 50 57 52
+5F 48 45 52 45 00 92 42 C6 1D DC D0 92 42 C4 1D
+DE D0 30 4D E2 D0 08 52 53 54 5F 48 45 52 45 00
+92 42 C6 1D 0E 18 92 42 C4 1D 0C 18 EC 3F B2 40
+C8 D2 2C D3 B2 40 92 C6 86 C6 B2 40 FE C6 14 C7
+B2 40 40 C7 54 C7 B2 40 16 C6 24 C6 82 43 52 DB
+82 43 5E DB 82 43 6A DB 82 43 9A DB 82 43 A6 DB
+82 43 B2 DB B2 40 0A 00 DA 1D 30 41 D4 CF 04 57
+49 50 45 00 39 40 80 FF B9 43 00 00 29 53 39 90
+CE FF FA 23 B0 12 2E D1 B2 40 8E DF 0C 18 B2 40
+90 D4 0E 18 AB 3F A8 CE 07 43 4F 4D 50 41 52 45
+0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83
+07 30 1C 83 07 30 19 53 F9 98 FF FF F5 27 02 2C
+3E 43 30 4D 1E 43 30 4D F4 CD 86 5B 54 48 45 4E
+5D 00 30 4D 1E 83 30 4D CA D1 86 5B 45 4C 53 45
+5D 00 87 12 34 C2 01 00 90 C4 32 C8 A0 CA 44 C2
+E0 C3 50 D2 8A C2 8A C2 B2 C7 04 5B 49 46 5D 00
+A0 D1 EA C3 0E D2 F0 C8 2C C3 DC C3 44 D2 8A C2
+8A C2 B2 C7 06 5B 45 4C 53 45 5D 00 A0 D1 EA C3
+32 D2 F0 C8 D4 D1 44 C2 E0 C3 2E D2 2C C3 DC C3
+44 D2 B2 C7 06 5B 54 48 45 4E 5D 00 A0 D1 EA C3
+44 D2 D4 D1 54 C2 EA C3 4C D2 2A C2 DC C3 E8 D1
+F0 C8 B2 C7 03 0D 6B 6F 96 C7 5E C7 5A C4 44 C2
+64 C4 30 C6 66 D2 82 43 C2 1D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 3D 40 E8 D1 30 4D DA D1 84 5B 49 46
+5D 00 0E 93 3E 4F AD 27 30 4D 7C D2 8B 5B 55 4E
+44 45 46 49 4E 45 44 5D 87 12 90 C4 32 C8 90 C8
+6C C2 86 C3 2A C2 8C D2 89 5B 44 45 46 49 4E 45
+44 5D 87 12 90 C4 32 C8 90 C8 6C C2 2A C2 58 CE
+06 28 57 41 52 4D 29 00 1E 42 08 18 87 12 B2 C7
+06 0D 1B 5B 37 6D 23 00 96 C7 AC C5 B2 C7 27 20
+46 61 73 74 46 6F 72 74 68 20 56 32 2E 30 20 32
+34 4D 48 7A 20 28 43 29 20 4A 2E 4D 2E 54 68 6F
+6F 72 65 6E 73 20 96 C7 34 C2 30 FF C2 C5 28 C3
+78 C5 B2 C7 0B 62 79 74 65 73 20 66 72 65 65 20
+C4 CB 6E D1 04 57 41 52 4D 00 30 40 C8 D2 98 D1
+04 43 4F 4C 44 00 B2 40 04 A5 20 01 92 D3 30 01
+B2 40 88 5A 5C 01 F2 D0 10 00 16 02 B2 D0 00 84
+04 02 B2 D0 FF 7F 06 02 B2 40 FF 7F 02 02 F2 D0
+07 00 36 02 B2 D0 F0 00 04 02 B2 40 0F FF 02 02
+B2 D0 0F FF 06 02 F2 D0 0F 00 24 03 F2 40 F0 00
+22 03 F2 D0 F0 00 26 03 F2 40 A5 00 61 01 B2 40
+86 00 62 01 82 43 66 01 39 40 C0 00 B2 40 33 00
+64 01 D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18
+01 24 59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23
+B2 D2 B0 01 92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0
+40 00 A1 04 3A 40 3C D3 39 40 CE FF 89 4A 00 00
+29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
+31 40 E0 1C 3F 40 80 1C 37 40 00 C2 36 40 BC C2
+35 40 08 C2 34 40 14 C2 B2 40 0A 00 DA 1D B2 43
+AC 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20
+38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 A2 42
+C6 05 82 43 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05
+92 D3 DA 05 3D 40 5E D4 18 42 08 18 38 90 0A 00
+91 26 38 90 16 00 8E 2E 28 93 48 22 3D 26 2A D3
+40 D0 09 41 53 53 45 4D 42 4C 45 52 84 12 08 D0
+B6 DA 62 DB 6A DA B6 DB 30 DA EA DA 34 D7 00 00
+26 DA D6 DA 88 DA C6 DA 44 D8 00 00 00 00 C8 DB
+3C D0 C0 D2 85 48 49 32 4C 4F 87 12 C2 C5 70 CE
+58 CA 50 CC 46 D0 6C D4 2A C2 30 D3 04 43 4F 44
+45 00 B0 12 1A CD A2 82 C4 1D 87 12 EC CD DC C3
+A4 D4 00 00 07 45 4E 44 43 4F 44 45 87 12 60 D0
+FE CC 2A C2 62 D4 03 41 53 4D 92 42 C8 1D B8 1D
+B2 40 70 D4 C8 1D E5 3F C4 D4 06 45 4E 44 41 53
+4D 00 92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C
+4F 4E 1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D
+B2 43 B6 1D 30 40 60 D0 A4 C4 05 4C 4F 32 48 49
+1A 42 C4 1D BA 40 B0 12 00 00 BA 40 2A C2 02 00
+A2 52 C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A
+1A 52 C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
+2A 88 82 4A C2 1D 30 4D B0 12 2A C2 32 C8 90 C8
+EA C3 6C D5 52 C9 E0 C3 3E CC 8E D5 6E D5 29 4E
+39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
+1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
+19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00
+12 12 C2 1D 92 53 C2 1D B0 12 2A C2 32 C8 52 C9
+E0 C3 C0 D5 B6 D5 21 53 3E 90 10 00 BB 2D 30 41
+C2 D5 B2 41 C2 1D 22 D3 30 41 87 12 90 C4 36 D5
+D2 D5 82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D
+0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D
+B0 12 58 D5 0E 93 04 20 B2 40 00 03 BC 1D 27 3C
+1E 93 04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20
+B2 40 20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02
+BC 1D 15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C
+3E 93 04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00
+BC 1D 19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F
+3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
+BC 1D 92 53 C2 1D 30 12 42 D6 76 3F FA 90 40 00
+00 00 1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12
+A0 D5 0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12
+A0 D5 32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D
+8E 10 82 5E BC 1D D3 3F B0 12 A0 D5 F9 23 B2 50
+10 00 BC 1D 3E 40 28 00 B0 12 58 D5 30 12 92 D6
+67 3F 87 12 90 C4 36 D5 CA D6 FE 90 26 00 00 00
+3E 40 20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12
+A0 D5 DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12
+58 D5 B0 12 90 D5 D5 23 3D 40 3E CC 30 4D 7E C6
+04 52 45 54 49 00 87 12 34 C2 00 13 58 CA 2A C2
+34 C2 2C 00 CA D5 C2 D6 1A D7 2E 4E 1E D2 BC 1D
+19 42 BA 1D 92 3F 1A D5 03 4D 4F 56 84 12 10 D7
+00 40 28 D7 05 4D 4F 56 2E 42 84 12 10 D7 40 40
+00 00 03 41 44 44 84 12 10 D7 00 50 42 D7 05 41
+44 44 2E 42 84 12 10 D7 40 50 4E D7 04 41 44 44
+43 00 84 12 10 D7 00 60 5C D7 06 41 44 44 43 2E
+42 00 84 12 10 D7 40 60 00 D7 04 53 55 42 43 00
+84 12 10 D7 00 70 7A D7 06 53 55 42 43 2E 42 00
+84 12 10 D7 40 70 88 D7 03 53 55 42 84 12 10 D7
+00 80 98 D7 05 53 55 42 2E 42 84 12 10 D7 40 80
+FC D4 03 43 4D 50 84 12 10 D7 00 90 B2 D7 05 43
+4D 50 2E 42 84 12 10 D7 40 90 EA D4 04 44 41 44
+44 00 84 12 10 D7 00 A0 CC D7 06 44 41 44 44 2E
+42 00 84 12 10 D7 40 A0 BE D7 03 42 49 54 84 12
+10 D7 00 B0 EA D7 05 42 49 54 2E 42 84 12 10 D7
+40 B0 F6 D7 03 42 49 43 84 12 10 D7 00 C0 04 D8
+05 42 49 43 2E 42 84 12 10 D7 40 C0 10 D8 03 42
+49 53 84 12 10 D7 00 D0 1E D8 05 42 49 53 2E 42
+84 12 10 D7 40 D0 00 00 03 58 4F 52 84 12 10 D7
+00 E0 38 D8 05 58 4F 52 2E 42 84 12 10 D7 40 E0
+6A D7 03 41 4E 44 84 12 10 D7 00 F0 52 D8 05 41
+4E 44 2E 42 84 12 10 D7 40 F0 90 C4 CA D5 70 D8
+1A 42 BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00
+82 DA BC 1D 4A 3F A4 D7 03 52 52 43 84 12 6A D8
+00 10 88 D8 05 52 52 43 2E 42 84 12 6A D8 40 10
+94 D8 04 53 57 50 42 00 84 12 6A D8 80 10 A2 D8
+03 52 52 41 84 12 6A D8 00 11 B0 D8 05 52 52 41
+2E 42 84 12 6A D8 40 11 BC D8 03 53 58 54 84 12
+6A D8 80 11 00 00 04 50 55 53 48 00 84 12 6A D8
+00 12 D6 D8 06 50 55 53 48 2E 42 00 84 12 6A D8
+40 12 2A D8 04 43 41 4C 4C 00 84 12 6A D8 80 12
+34 C2 2C 00 CA D5 C2 D6 0A D9 59 42 BC 1D 5A 42
+BD 1D 82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89
+02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
+1A 53 0E 4A 87 12 AC C5 B2 C7 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 BE CB E4 D8 05 50 55 53
+48 4D 84 12 00 D9 00 15 4C D9 04 50 4F 50 4D 00
+84 12 00 D9 00 17 90 C4 36 D5 6C D9 82 43 BC 1D
+92 42 C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40
+2C 00 B0 12 2A C2 32 C8 52 C9 E0 C3 3E CC C2 D6
+92 D9 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
+6F 3F CA D8 04 52 52 43 4D 00 84 12 66 D9 50 00
+A4 D9 04 52 52 41 4D 00 84 12 66 D9 50 01 B2 D9
+04 52 4C 41 4D 00 84 12 66 D9 50 02 C0 D9 04 52
+52 55 4D 00 84 12 66 D9 50 03 85 12 00 3C CE D9
+03 53 3E 3D 85 12 00 38 E0 D9 02 53 3C 00 85 12
+00 34 5A D9 03 30 3E 3D 85 12 00 30 F4 D9 02 30
+3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
+08 DA 03 55 3E 3D 85 12 00 28 FE D9 03 30 3C 3E
+85 12 00 24 1C DA 02 30 3D 00 85 12 00 20 8A C6
+02 49 46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D
+0E 4A 30 4D 12 DA 04 54 48 45 4E 00 1A 42 C4 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+68 2F 88 DA 00 00 30 4D DA D7 04 45 4C 53 45 00
+1A 42 C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83
+8F 4A 00 00 E3 3F 46 DA 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90
+00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C4 1D 30 4D 5E D8 05 41 47 41 49 4E 87 12 DA D9
+8E DA 2A C2 00 00 05 57 48 49 4C 45 87 12 34 DA
+78 C2 2A C2 EA D9 06 52 45 50 45 41 54 00 87 12
+DA D9 8E DA 4C DA 2A C2 00 00 03 4A 4D 50 87 12
+30 CC DA D9 8E DA 2A C2 3E B0 00 10 03 20 3E E0
+00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
+30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
+87 12 F8 DA 30 CC 78 C2 8E DA 2A C2 2E DB 3D 41
+08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00
+30 4D 88 43 00 00 A4 3F F4 D8 03 42 57 31 84 12
+2C DB 00 00 4A DB 03 42 57 32 84 12 2C DB 00 00
+56 DB 03 42 57 33 84 12 2C DB 00 00 6E DB 3D 41
+1A 42 C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53
+C4 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
+00 00 03 46 57 31 84 12 6C DB 00 00 92 DB 03 46
+57 32 84 12 6C DB 00 00 9E DB 03 46 57 33 84 12
+6C DB 00 00 AA DB 04 47 4F 54 4F 00 87 12 DA D9
+30 CC 4E CA 2A C2 1A DB 05 3F 47 4F 54 4F 87 12
+F8 DA 30 CC 4E CA 2A C2 A8 D2 09 7B 55 54 49 4C
+49 54 59 7D 30 4D 70 D0 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00
+12 C7 AC C5 34 C2 08 00 12 C7 34 C2 3E 00 12 C7
+5E C7 8A C2 8A C2 C4 C3 EA C3 32 DC 62 C2 62 C2
+2A C2 F0 C3 28 C4 F2 C2 78 C5 34 C2 02 00 00 C4
+34 DC 2A C2 E8 DB 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 1C D3 3F F8 C8 01 3F 2E 4E 30 40
+78 C5 24 D3 05 57 4F 52 44 53 87 12 52 C7 34 C2
+03 00 74 C7 34 C2 CA 1D F2 C2 50 C4 34 C2 10 00
+44 C2 20 C3 A2 CF 34 C2 00 00 44 C2 34 C2 10 00
+44 C2 20 C3 34 C2 00 00 F0 C3 44 C2 28 C4 50 C4
+20 C3 F2 C2 D2 C3 E0 C3 B8 DC 62 C2 62 C2 28 C4
+44 C2 50 C4 20 C3 F2 C2 34 C2 02 00 00 C4 9A DC
+54 C2 E0 C3 FA DC 44 C2 34 C2 02 00 28 C3 F2 C2
+9A C2 50 C4 20 C3 FA C2 44 C2 A0 CA 34 C2 7F 00
+36 C3 96 C7 08 C3 34 C2 0F 00 36 C3 34 C2 10 00
+78 C2 28 C3 74 C7 DC C3 86 DC 62 C2 2A C2 9C CF
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D 00 DD 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D B4 CE 03 55 2E 52
+87 12 B0 C2 9A C4 34 C2 00 00 F6 C4 28 C5 42 C5
+BC C2 8A C2 28 C3 34 C2 00 00 04 DD 74 C7 96 C7
+2A C2 7E D0 04 44 55 4D 50 00 0D 12 12 12 DA 1D
+B2 40 10 00 DA 1D 2E 5F B0 12 2A C2 78 C2 8A C2
+8A C2 78 C5 34 C2 01 00 28 C3 78 C5 34 C2 F0 FF
+36 C3 F0 C3 52 C7 28 C4 34 C2 07 00 20 DD 5E C7
+28 C4 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3
+34 C2 03 00 20 DD 12 C4 8C DD 5E C7 5E C7 28 C4
+34 C2 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2
+7E 00 12 DD 90 C4 04 DD 12 C7 12 C4 AA DD 34 C2
+10 00 00 C4 74 DD BC C2 7A C4 FA C2 2A C2 DA DB
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D 94 D4
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 1D 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 AA 1D
+3E 4F 30 4D 12 D0 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D 06 DE 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D 14 DE 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 26 DE 03 46 23 53 2F 83 8F 4E
+00 00 2B 42 B2 90 0A 00 DA 1D 01 20 1B 53 0C 43
+A2 4F C0 04 92 42 DA 1D C8 04 9F 42 E4 04 00 00
+1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 CC 4E 88 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43
+00 00 39 40 88 1D 78 3F A8 DE 02 46 2A 00 92 4F
+04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E
+E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42
+E8 04 30 4D FA DE 02 46 2E 00 87 12 9A C4 44 C2
+B0 C2 6E C3 78 C2 AC DE 34 C2 2C 00 58 C5 28 C5
+BC C2 66 C5 42 C5 96 C7 5E C7 2A C2 16 D1 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 44 DD 03 44 3E 46
+2E 4F 8F 43 00 00 30 4D 4E DF 09 32 43 4F 4E 53
+54 41 4E 54 87 12 92 CD 78 C2 58 CA 58 CA AA CD
+82 DF 2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
+@FFCE
+3C D3 3C D3 3C D3 3C D3 3C D3 3C D3 3C D3 3C D3
+3C D3 3C D3 3C D3 3C D3 3C D3 3C D3 3C D3 3C D3
+3C D3 98 C6 3C D3 3C D3 3C D3 3C D3 3C D3 3C D3
+3C D3
+q
index 2e1d5df..20d9af9 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A C6 C0 5D 00 24 05 00 18 00 DC DC AC D3
-4A C6 5C C6 00 00 00 00
+10 00 A4 C6 C0 5D 00 24 05 00 18 00 9C DF 9E D4
+64 C6 76 C6 00 00 00 00
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,397 +51,441 @@ AC C2 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 C4 04 42 41 53 45 00 85 12 DA 1D C8 C2
 05 53 54 41 54 45 85 12 B6 1D 74 C4 02 42 4C 00
 85 12 20 00 B4 C3 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C C4 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 C2 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 C4 02 23 53 00 87 12
-A6 C4 04 C5 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA C4 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 C4 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 C4 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 C4 02 55 2E 00 87 12
-9A C4 34 C2 00 00 FE C4 18 C5 70 C7 38 C7 2A C2
-68 C3 02 44 2E 00 87 12 9A C4 78 C2 8A C2 6E C3
-FE C4 9A C2 3C C5 18 C5 70 C7 38 C7 2A C2 50 C3
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 C5 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C C3 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 C5 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A C6 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-5C C6 30 4D 92 C5 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 C4 03 4B 45 59 30 40 EC C5 A6 C5 06 41
-43 43 45 50 54 00 30 40 16 C6 E6 C5 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 C6 3B 40 92 C6 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC C6 92 B3 DC 05 05 24 18 42 CC 05 38 90 0A 00
-04 20 21 53 39 40 76 C6 4D 15 B2 40 11 00 CE 05
-E2 C2 03 02 A2 B3 DC 05 FD 27 30 41 B2 40 13 00
-CE 05 E2 D2 03 02 A2 B3 DC 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 C6 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-CC 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 CE 05 A2 B3 DC 05 FD 27 30 4D BE C6 2D 83
-92 B3 DC 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C C6 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 C5 04 45 4D 49 54 00 30 40 DE C6
-E6 C6 04 45 43 48 4F 00 B2 40 82 48 B0 C6 30 4D
-80 C5 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 C6
-30 4D D6 C6 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 C5 02 43 52 00 30 40 1A C7
-36 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 C7 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A C7 EF 3F 5C C7 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A C5 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 C3
-28 C4 08 C3 EC C6 12 C4 80 C7 2A C2 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 C7 07 43 41 50 53 5F 4F 4E B2 43 AC 1D 30 4D
-A2 C7 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 1D
-30 4D 46 C7 82 53 22 00 87 12 34 C2 8C C7 EE C9
-BC C7 34 C2 22 00 0C C8 AA C7 DC C7 3D 41 6E 4E
-1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63 C4 1D
-30 4D 02 C7 82 2E 22 00 87 12 C8 C7 34 C2 70 C7
-EE C9 2A C2 00 00 04 57 4F 52 44 00 3C 40 BE 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 C2 34 40 14 C2 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A C4 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 1D 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C C9 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E C9 2F 53
-0E 93 2C 17 82 4C DA 1D 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 C6 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 C3 01 2C 1A 42
-C4 1D A2 53 C4 1D 8A 4E 00 00 3E 4F 30 4D EC C9
-87 4C 49 54 45 52 41 4C 82 93 B6 1D 0F 24 1A 42
-C4 1D A2 52 C4 1D BA 40 34 C2 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 C7
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 1D B2 4F C0 1D 3E 4F 82 43
-C2 1D 87 12 90 C4 0C C8 5A CA 3D 40 66 CA 05 23
-3D 41 3E 4F 30 4D 68 CA 0A 4E 3E 4F 3D 40 7E CA
-5A 27 3D 40 54 CA 1A E2 B6 1D B9 27 B3 23 80 CA
-3E 4F 3D 40 54 CA C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE CC CD 3F DC C9 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A C2 44 CA BC CA B2 41 C2 1D
-B2 41 C0 1D B2 41 BE 1D 3D 41 30 4D 4C C4 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-B6 1D 82 43 08 18 B0 12 2A C2 8C C7 03 0D 6F 6B
-70 C7 38 C7 5A C4 44 C2 64 C4 06 C6 38 C7 44 CA
-DE C2 92 C3 8C C7 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E CB 34 C2 30 FF 98 C5 D2 C3 8C C7
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E CB 86 C4
-F2 C2 E0 C3 EA CA 8C C7 03 0D 20 20 DC C3 F0 CA
-FE C5 05 41 42 4F 52 54 3F 40 80 1C C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 C6 B0 12 62 D0 A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 03 02 92 C3
-DC 05 38 40 F0 FF 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 8C C7 04 1B 5B 37
-6D 00 70 C7 70 C7 8C C7 04 1B 5B 30 6D 00 70 C7
-70 CF B2 CF B8 CF 00 D0 AA C7 48 CB 42 CB 86 41
-42 4F 52 54 22 00 87 12 C8 C7 34 C2 4E CB EE C9
-2A C2 64 C8 01 27 87 12 90 C4 0C C8 6A C8 E0 C3
-D4 CB 2A C2 88 CA 96 C4 81 5C 92 42 BE 1D C2 1D
-30 4D 00 00 81 5B 82 43 B6 1D 30 4D D8 CB 01 5D
-B2 43 B6 1D 30 4D E4 CB 83 5B 27 5D 87 12 C6 CB
-34 C2 34 C2 EE C9 EE C9 2A C2 BE 4F 02 00 3E 4F
-30 4D 14 C7 82 49 53 00 87 12 86 C4 F2 C2 E0 C3
-2C CC FC CB 34 C2 0A CC EE C9 2A C2 C6 CB 0A CC
-2A C2 14 CC 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 1D FA D0 80 00 00 00 30 4D C4 C7 87 52 45 43
-55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
-C4 1D 30 4D CE CA 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 C4 0C C8 6A C8 54 C2 E0 C3 D4 CB 92 C3
-E0 C3 90 CC 34 C2 34 C2 EE C9 EE C9 34 C2 EE C9
-EE C9 2A C2 82 9F B4 1D A0 24 87 12 8C C7 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 CB
-87 12 E8 C7 90 C4 0C C8 BA CC 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
-C4 1D 3E 4F 3D 41 30 41 06 C8 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 CC BA 40 86 12 FC FF 71 3C
-30 CA 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 CC
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 CD
-06 43 52 45 41 54 45 00 B0 12 B0 CC BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E CA 05 44 4F 45 53 3E
-1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A CD 05 44 45 46 45 52 B0 12 B0 CC BA 40
-30 40 FC FF BA 40 50 CD FE FF 3B 3C F8 CB 01 3A
-B0 12 B0 CC BA 40 87 12 FC FF A2 83 C4 1D B2 43
-B6 1D 82 4F B4 1D 30 4D 6E CD 81 3B 82 93 B6 1D
-24 27 87 12 34 C2 2A C2 EE C9 94 CC E6 CB 2A C2
-A2 CD 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 CA 06 4D 41 52 4B 45 52 00 B0 12 B0 CC BA 40
-84 12 FC FF BA 40 A0 CD FE FF 9A 42 C6 1D 00 00
-28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
-B0 1D A8 49 FE FF 89 48 00 00 30 4D 34 CC 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
-BE 40 E0 C3 00 00 2E 53 30 4D 54 CD 84 45 4C 53
-45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC C3 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A C7 84 54 48 45
-4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 20 CD 85 42
-45 47 49 4E 30 40 98 C5 2C CE 85 55 4E 54 49 4C
-39 40 E0 C3 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE CB 85 41 47 41 49 4E
-39 40 DC C3 EF 3F EA CC 85 57 48 49 4C 45 87 12
-F2 CD 78 C2 2A C2 4C CC 86 52 45 50 45 41 54 00
-87 12 70 CE 32 CE 2A C2 0C CE 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 C3
-FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
-B2 CD 84 4C 4F 4F 50 00 39 40 12 C4 A2 52 C4 1D
-1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
-A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 C5 85 2B 4C 4F 4F 50 39 40 00 C4
-E5 3F C2 CE 85 4C 45 41 56 45 1A 42 C4 1D BA 40
-22 C4 00 00 BA 40 DC C3 02 00 B2 50 06 00 C4 1D
-A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
-04 CF 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 C2 CA 1D FA C2 2A C2
-84 12 68 CF F2 D3 C8 D3 60 DC C4 CB B0 D3 F6 CE
-2A DC 62 DB 24 D0 3E D0 38 DC 80 DB 46 C3 F6 DA
-EE CB 76 DB 00 00 3A 40 0E 00 39 40 CA 1D 38 40
-CC 1D D9 3F 3A 40 0E 00 39 40 CC 1D 38 40 CA 1D
-CC 3F 82 43 CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D
-C2 CF 3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 CC 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 CF AC D3 DC DC 88 CE 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 D0 92 42 0C 18 06 D0
-EF 3F F6 CF 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 1D 04 D0 92 42 C4 1D 06 D0 30 4D 0A D0 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42
-C4 1D 0C 18 EC 3F B2 40 F0 D1 52 D2 B2 40 84 C6
-78 C6 B2 40 DE C6 EE C6 B2 40 1A C7 2E C7 B2 40
-EC C5 FA C5 82 43 6E DA 82 43 7A DA 82 43 86 DA
-82 43 B6 DA 82 43 C2 DA 82 43 CE DA B2 40 0A 00
-DA 1D 30 41 78 CE 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 CE FF FA 23 B0 12 56 D0
-B2 40 DC DC 0C 18 B2 40 AC D3 0E 18 AB 3F 3E CE
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A CD 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 D0 86 5B 45 4C 53 45 5D 00 87 12 34 C2 01 00
-90 C4 0C C8 36 CA 44 C2 E0 C3 78 D1 8A C2 8A C2
-8C C7 04 5B 49 46 5D 00 C8 D0 EA C3 36 D1 CA C8
-2C C3 DC C3 6C D1 8A C2 8A C2 8C C7 06 5B 45 4C
-53 45 5D 00 C8 D0 EA C3 5A D1 CA C8 FC D0 44 C2
-E0 C3 56 D1 2C C3 DC C3 6C D1 8C C7 06 5B 54 48
-45 4E 5D 00 C8 D0 EA C3 6C D1 FC D0 54 C2 EA C3
-74 D1 2A C2 DC C3 10 D1 CA C8 8C C7 03 0D 6B 6F
-70 C7 38 C7 5A C4 44 C2 64 C4 06 C6 8E D1 82 43
-C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 10 D1
-30 4D 02 D1 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 D1 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 C4 0C C8 6A C8 6C C2 86 C3 2A C2 B4 D1
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 C4 0C C8
-6A C8 6C C2 2A C2 EE CD 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C C7 05 0D 1B 5B 37 6D 70 C7
-82 C5 8C C7 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 32 34 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 C7 34 C2
-30 FF 98 C5 28 C3 4E C5 8C C7 0B 62 79 74 65 73
-20 66 72 65 65 20 5A CB 96 D0 04 57 41 52 4D 00
-30 40 F0 D1 C0 D0 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 92 D3 30 01 B2 40 88 5A 5C 01 F2 D0 10 00
-16 02 B2 D0 00 84 04 02 B2 D0 FF 7F 06 02 B2 40
-FF 7F 02 02 F2 D0 07 00 36 02 B2 D0 F0 00 04 02
-B2 40 0F FF 02 02 B2 D0 0F FF 06 02 F2 D0 0F 00
-24 03 F2 40 F0 00 22 03 F2 D0 F0 00 26 03 F2 40
-A5 00 61 01 B2 40 86 00 62 01 82 43 66 01 39 40
-C0 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 D2 B0 01 92 C3 B0 01 F2 D0
-10 00 2A 03 F2 C0 40 00 A1 04 3A 40 62 D2 39 40
-CE FF 89 4A 00 00 29 53 FC 23 92 42 02 18 F0 FF
-B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C 37 40
-00 C2 36 40 BC C2 35 40 08 C2 34 40 14 C2 B2 40
-0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42 08 18
-D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18 B2 40
-81 00 C0 05 92 43 C6 05 B2 40 A1 00 C8 05 F2 D0
-03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 86 D3
-18 42 08 18 38 90 0A 00 91 26 38 90 16 00 8E 2E
-28 93 48 22 3D 26 50 D2 84 12 68 CF D2 D9 7E DA
-86 D9 D2 DA 4C D9 06 DA 50 D6 00 00 42 D9 F2 D9
-A4 D9 E2 D9 60 D7 00 00 00 00 E4 DA 94 CF E8 D1
-85 48 49 32 4C 4F 87 12 98 C5 06 CE EE C9 E6 CB
-96 CF 88 D3 2A C2 56 D2 04 43 4F 44 45 00 B0 12
-B0 CC A2 82 C4 1D 87 12 82 CD DC C3 C0 D3 00 00
-07 45 4E 44 43 4F 44 45 87 12 A4 CF 94 CC 2A C2
-6A CE 03 41 53 4D 92 42 C8 1D B8 1D B2 40 8C D3
-C8 1D E5 3F E0 D3 06 45 4E 44 41 53 4D 00 92 42
-B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D
-30 40 A4 CF 00 00 05 4C 4F 32 48 49 1A 42 C4 1D
-BA 40 B0 12 00 00 BA 40 2A C2 02 00 A2 52 C4 1D
-ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-C2 1D 30 4D B0 12 2A C2 0C C8 6A C8 EA C3 88 D4
-26 C9 E0 C3 D4 CB AA D4 8A D4 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D
-A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D
-92 53 C2 1D B0 12 2A C2 0C C8 26 C9 E0 C3 DC D4
-D2 D4 21 53 3E 90 10 00 BB 2D 30 41 DE D4 B2 41
-C2 1D 22 D3 30 41 87 12 90 C4 52 D4 EE D4 82 43
-BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12 74 D4
-0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20
-B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03
-BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C
-3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20
-B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42
-C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53
-C2 1D 30 12 5E D5 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 BC 1D 92 53 C2 1D B0 12 BC D4 0E 20
-B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 BC D4 32 24
-92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E
-BC 1D D3 3F B0 12 BC D4 F9 23 B2 50 10 00 BC 1D
-3E 40 28 00 B0 12 74 D4 30 12 AE D5 67 3F 87 12
-90 C4 52 D4 E6 D5 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 BC 1D C2 3F B0 12 BC D4 DF 23
-B2 50 80 00 BC 1D 3E 40 28 00 B0 12 74 D4 B0 12
-AC D4 D5 23 3D 40 D4 CB 30 4D 70 C6 04 52 45 54
-49 00 87 12 34 C2 00 13 EE C9 2A C2 34 C2 2C 00
-E6 D4 DE D5 36 D6 2E 4E 1E D2 BC 1D 19 42 BA 1D
-92 3F 36 D4 03 4D 4F 56 84 12 2C D6 00 40 44 D6
-05 4D 4F 56 2E 42 84 12 2C D6 40 40 00 00 03 41
-44 44 84 12 2C D6 00 50 5E D6 05 41 44 44 2E 42
-84 12 2C D6 40 50 6A D6 04 41 44 44 43 00 84 12
-2C D6 00 60 78 D6 06 41 44 44 43 2E 42 00 84 12
-2C D6 40 60 1C D6 04 53 55 42 43 00 84 12 2C D6
-00 70 96 D6 06 53 55 42 43 2E 42 00 84 12 2C D6
-40 70 A4 D6 03 53 55 42 84 12 2C D6 00 80 B4 D6
-05 53 55 42 2E 42 84 12 2C D6 40 80 18 D4 03 43
-4D 50 84 12 2C D6 00 90 CE D6 05 43 4D 50 2E 42
-84 12 2C D6 40 90 06 D4 04 44 41 44 44 00 84 12
-2C D6 00 A0 E8 D6 06 44 41 44 44 2E 42 00 84 12
-2C D6 40 A0 DA D6 03 42 49 54 84 12 2C D6 00 B0
-06 D7 05 42 49 54 2E 42 84 12 2C D6 40 B0 12 D7
-03 42 49 43 84 12 2C D6 00 C0 20 D7 05 42 49 43
-2E 42 84 12 2C D6 40 C0 2C D7 03 42 49 53 84 12
-2C D6 00 D0 3A D7 05 42 49 53 2E 42 84 12 2C D6
-40 D0 00 00 03 58 4F 52 84 12 2C D6 00 E0 54 D7
-05 58 4F 52 2E 42 84 12 2C D6 40 E0 86 D6 03 41
-4E 44 84 12 2C D6 00 F0 6E D7 05 41 4E 44 2E 42
-84 12 2C D6 40 F0 90 C4 E6 D4 8C D7 1A 42 BC 1D
-B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D
-4A 3F C0 D6 03 52 52 43 84 12 86 D7 00 10 A4 D7
-05 52 52 43 2E 42 84 12 86 D7 40 10 B0 D7 04 53
-57 50 42 00 84 12 86 D7 80 10 BE D7 03 52 52 41
-84 12 86 D7 00 11 CC D7 05 52 52 41 2E 42 84 12
-86 D7 40 11 D8 D7 03 53 58 54 84 12 86 D7 80 11
-00 00 04 50 55 53 48 00 84 12 86 D7 00 12 F2 D7
-06 50 55 53 48 2E 42 00 84 12 86 D7 40 12 46 D7
-04 43 41 4C 4C 00 84 12 86 D7 80 12 34 C2 2C 00
-E6 D4 DE D5 26 D8 59 42 BC 1D 5A 42 BD 1D 82 4A
-BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 82 C5 8C C7 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 54 CB 00 D8 05 50 55 53 48 4D 84 12
-1C D8 00 15 68 D8 04 50 4F 50 4D 00 84 12 1C D8
-00 17 90 C4 52 D4 88 D8 82 43 BC 1D 92 42 C4 1D
-BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12
-2A C2 0C C8 26 C9 E0 C3 D4 CB DE D5 AE D8 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F E6 D7
-04 52 52 43 4D 00 84 12 82 D8 50 00 C0 D8 04 52
-52 41 4D 00 84 12 82 D8 50 01 CE D8 04 52 4C 41
-4D 00 84 12 82 D8 50 02 DC D8 04 52 52 55 4D 00
-84 12 82 D8 50 03 85 12 00 3C EA D8 03 53 3E 3D
-85 12 00 38 FC D8 02 53 3C 00 85 12 00 34 76 D8
-03 30 3E 3D 85 12 00 30 10 D9 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C 24 D9 03 55
-3E 3D 85 12 00 28 1A D9 03 30 3C 3E 85 12 00 24
-38 D9 02 30 3D 00 85 12 00 20 7C C6 02 49 46 00
-1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D
-2E D9 04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D F6 D6 04 45 4C 53 45 00 1A 42 C4 1D
-BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00
-E3 3F 62 D9 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D
-7A D7 05 41 47 41 49 4E 87 12 F6 D8 AA D9 2A C2
-00 00 05 57 48 49 4C 45 87 12 50 D9 78 C2 2A C2
-06 D9 06 52 45 50 45 41 54 00 87 12 F6 D8 AA D9
-68 D9 2A C2 00 00 03 4A 4D 50 87 12 C6 CB F6 D8
-AA D9 2A C2 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 14 DA
-C6 CB 78 C2 AA D9 2A C2 4A DA 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43
-00 00 A4 3F 10 D8 03 42 57 31 84 12 48 DA 00 00
-66 DA 03 42 57 32 84 12 48 DA 00 00 72 DA 03 42
-57 33 84 12 48 DA 00 00 8A DA 3D 41 1A 42 C4 1D
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 88 DA 00 00 AE DA 03 46 57 32 84 12
-88 DA 00 00 BA DA 03 46 57 33 84 12 88 DA 00 00
-C6 DA 04 47 4F 54 4F 00 87 12 F6 D8 C6 CB E4 C9
-2A C2 36 DA 05 3F 47 4F 54 4F 87 12 14 DA C6 CB
-E4 C9 2A C2 D0 D1 09 7B 55 54 49 4C 49 54 59 7D
-30 4D F4 C7 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
-8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
-06 00 2E 8F 0E 11 87 12 34 C2 3C 00 EC C6 82 C5
-34 C2 08 00 EC C6 34 C2 3E 00 EC C6 38 C7 8A C2
-8A C2 C4 C3 EA C3 4E DB 62 C2 62 C2 2A C2 F0 C3
-28 C4 F2 C2 4E C5 34 C2 02 00 00 C4 50 DB 2A C2
-04 DB 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
-E0 1C D3 3F D2 C8 01 3F 2E 4E 30 40 4E C5 4A D2
-05 57 4F 52 44 53 87 12 2C C7 34 C2 03 00 4E C7
-34 C2 CA 1D F2 C2 50 C4 34 C2 10 00 44 C2 20 C3
-38 CF 34 C2 00 00 44 C2 34 C2 10 00 44 C2 20 C3
-34 C2 00 00 F0 C3 44 C2 28 C4 50 C4 20 C3 F2 C2
-D2 C3 E0 C3 D4 DB 62 C2 62 C2 28 C4 44 C2 50 C4
-20 C3 F2 C2 34 C2 02 00 00 C4 B6 DB 54 C2 E0 C3
-16 DC 44 C2 34 C2 02 00 28 C3 F2 C2 9A C2 50 C4
-20 C3 FA C2 44 C2 36 CA 34 C2 7F 00 36 C3 70 C7
-08 C3 34 C2 0F 00 36 C3 34 C2 10 00 78 C2 28 C3
-4E C7 DC C3 A2 DB 62 C2 2A C2 32 CF 03 4D 41 58
-2E 9F 07 38 2F 53 30 4D 1C DC 03 4D 49 4E 2E 9F
-F9 3B 3E 4F 30 4D 4A CE 03 55 2E 52 87 12 B0 C2
-9A C4 34 C2 00 00 A6 C4 FE C4 18 C5 BC C2 8A C2
-28 C3 34 C2 00 00 20 DC 4E C7 70 C7 2A C2 9A CE
-04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00
-DA 1D 2E 5F BF F0 F0 FF 00 00 B0 12 2A C2 78 C2
-F0 C3 2C C7 28 C4 34 C2 07 00 3C DC 38 C7 28 C4
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 C2 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C C4 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 C4 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 C4 02 23 53 00 87 12 F6 C4 2E C5 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 C5 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 C4 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 C4
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 C4 02 55 2E 00 87 12 9A C4 34 C2 00 00
+28 C5 42 C5 A2 C7 6A C7 2A C2 68 C3 02 44 2E 00
+87 12 9A C4 78 C2 8A C2 6E C3 28 C5 9A C2 66 C5
+42 C5 A2 C7 6A C7 2A C2 50 C3 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 C5 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C C3
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E C5
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 C6 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 76 C6 30 4D BC C5
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 C4 03 4B
+45 59 30 40 16 C6 D0 C5 06 41 43 43 45 50 54 00
+3C 40 FA C6 3B 40 AC C6 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE C6 92 B3 DC 05
+05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
+90 C6 4D 15 B2 40 11 00 CE 05 E2 C2 03 02 A2 B3
+DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D2 03 02
+A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E C6 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 C6 0F 3C C6 C6 38 40 20 00 3D 52 0A 3C D0 C6
+78 42 3D 40 EE C6 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D F0 C6
+2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 C6 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C C5 04 45 4D 49 54 00 30 40
+10 C7 18 C7 04 45 43 48 4F 00 B2 40 82 48 E2 C6
+30 4D AA C5 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 C6 30 4D 08 C7 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 C5 02 43 52 00 30 40
+4C C7 60 C5 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 C7 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C C7 EF 3F 8E C7 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 C5 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 C3 28 C4 08 C3 1E C7 12 C4 B2 C7 2A C2 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A C7 07 43 41 50 53 5F 4F 4E B2 43 AC 1D
+30 4D D4 C7 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 1D 30 4D 78 C7 82 53 22 00 87 12 34 C2 BE C7
+64 CA EE C7 34 C2 22 00 3E C8 DC C7 0E C8 3D 41
+6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63
+C4 1D 30 4D 34 C7 82 2E 22 00 87 12 FA C7 34 C2
+A2 C7 64 CA 2A C2 00 00 04 57 4F 52 44 00 3C 40
+BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 C2 34 40 14 C2 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A C4 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE C9 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 C9
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC C4
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 C7 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 C3 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E 00 00
+3E 4F 30 4D 62 CA 87 4C 49 54 45 52 41 4C 82 93
+B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40 34 C2
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 C7 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 82 43 C2 1D 87 12 90 C4 3E C8 D0 CA
+3D 40 DC CA E3 22 3D 41 3E 4F 30 4D DE CA 0A 4E
+3E 4F 3D 40 F4 CA 3B 27 3D 40 CA CA 1A E2 B6 1D
+B9 27 B3 23 F6 CA 3E 4F 3D 40 CA CA C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 CD
+CD 3F 52 CA 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A C2 BA CA
+32 CB B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D 3D 41
+30 4D 4C C4 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12 2A C2
+BE C7 03 0D 6F 6B A2 C7 6A C7 5A C4 44 C2 64 C4
+30 C6 6A C7 BA CA DE C2 92 C3 BE C7 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 CB 34 C2 30 FF
+C2 C5 D2 C3 BE C7 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 CB 86 C4 F2 C2 E0 C3 60 CB BE C7 03 0D
+20 20 DC C3 66 CB 28 C6 05 41 42 4F 52 54 3F 40
+80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 C6
+B0 12 46 D1 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
+E2 C2 03 02 92 C3 DC 05 38 40 F0 FF 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23 87 12
+BE C7 04 1B 5B 37 6D 00 A2 C7 A2 C7 BE C7 04 1B
+5B 30 6D 00 A2 C7 24 D0 82 D0 96 D0 E4 D0 DC C7
+BE CB B8 CB 86 41 42 4F 52 54 22 00 87 12 FA C7
+34 C2 C4 CB 64 CA 2A C2 96 C8 01 27 87 12 90 C4
+3E C8 9C C8 E0 C3 4A CC 2A C2 FE CA 96 C4 81 5C
+92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43 B6 1D
+30 4D 4E CC 01 5D B2 43 B6 1D 30 4D 5A CC 83 5B
+27 5D 87 12 3C CC 34 C2 34 C2 64 CA 64 CA 2A C2
+BE 4F 02 00 3E 4F 30 4D 46 C7 82 49 53 00 87 12
+86 C4 F2 C2 E0 C3 A2 CC 72 CC 34 C2 80 CC 64 CA
+2A C2 3C CC 80 CC 2A C2 8A CC 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00 30 4D
+F6 C7 87 52 45 43 55 52 53 45 19 42 C4 1D 99 42
+B2 1D 00 00 A2 53 C4 1D 30 4D 44 CB 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 C4 3E C8 9C C8 54 C2
+E0 C3 4A CC 92 C3 E0 C3 06 CD 34 C2 34 C2 64 CA
+64 CA 34 C2 64 CA 64 CA 2A C2 82 9F B4 1D A0 24
+87 12 BE C7 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA CB 87 12 1A C8 90 C4 3E C8 30 CD
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D 82 4A
+B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41 38 C8
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 CD BA 40
+86 12 FC FF 71 3C A6 CA 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 CD BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 CD 06 43 52 45 41 54 45 00 B0 12
+26 CD BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 CB
+05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 CD 05 44 45 46 45 52
+B0 12 26 CD BA 40 30 40 FC FF BA 40 C6 CD FE FF
+3B 3C 6E CC 01 3A B0 12 26 CD BA 40 87 12 FC FF
+A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D E4 CD
+81 3B 82 93 B6 1D 24 27 87 12 34 C2 2A C2 64 CA
+0A CD 5C CC 2A C2 18 CE 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 CA 06 4D 41 52 4B 45 52 00
+B0 12 26 CD BA 40 84 12 FC FF BA 40 16 CE FE FF
+9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52 C4 1D
+18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48 00 00
+30 4D AA CC 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 1D A2 52 C4 1D BE 40 E0 C3 00 00 2E 53 30 4D
+CA CD 84 45 4C 53 45 00 A2 52 C4 1D 1A 42 C4 1D
+BA 40 DC C3 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C C7 84 54 48 45 4E 00 9E 42 C4 1D 00 00 3E 4F
+30 4D 96 CD 85 42 45 47 49 4E 30 40 C2 C5 A2 CE
+85 55 4E 54 49 4C 39 40 E0 C3 A2 52 C4 1D 1A 42
+C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 CC
+85 41 47 41 49 4E 39 40 DC C3 EF 3F 60 CD 85 57
+48 49 4C 45 87 12 68 CE 78 C2 2A C2 C2 CC 86 52
+45 50 45 41 54 00 87 12 E6 CE A8 CE 2A C2 82 CE
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D 1E 42
+C4 1D BE 40 F0 C3 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D 28 CE 84 4C 4F 4F 50 00 39 40
+12 C4 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E C6 85 2B 4C 4F
+4F 50 39 40 00 C4 E5 3F 38 CF 85 4C 45 41 56 45
+1A 42 C4 1D BA 40 22 C4 00 00 BA 40 DC C3 02 00
+B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 7A CF 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE CE
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E CD
+34 C2 10 00 34 C2 00 00 F0 C3 34 C2 00 00 64 CA
+12 C4 FA CF C2 C5 34 C2 C6 1D 44 C2 F2 C2 64 CA
+FA C2 B6 CD 34 C2 CA 1D FA C2 2A C2 3A CC 05 46
+4F 52 54 48 84 12 14 D0 E4 D4 BA D4 6A DF 34 DF
+EE DD 6C CF 1C DD 54 DC 08 D1 78 DF 2A DD 72 DC
+46 C3 DE DD 64 CC 68 DC 00 00 E0 CE 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D B6 3F
+DC CC 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 1D 38 40 CA 1D A3 3F 26 C8 04 4F 4E 4C
+59 00 82 43 CC 1D 30 4D 10 CF 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D 24 D0
+82 D0 96 D0 A6 D0 3A 4E 82 4A C6 1D 2E 4E 82 4E
+C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 D0 09 50 57 52 5F 53
+54 41 54 45 84 12 9E D0 9E D4 9C DF FE CE 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 D0 92 42
+0C 18 EA D0 EF 3F DA D0 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D E8 D0 92 42 C4 1D EA D0 30 4D
+EE D0 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
+0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 D4 D2 38 D3
+B2 40 9E C6 92 C6 B2 40 10 C7 20 C7 B2 40 4C C7
+60 C7 B2 40 16 C6 24 C6 82 43 60 DB 82 43 6C DB
+82 43 78 DB 82 43 A8 DB 82 43 B4 DB 82 43 C0 DB
+B2 40 0A 00 DA 1D 30 41 E0 CF 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 CE FF FA 23
+B0 12 3A D1 B2 40 9C DF 0C 18 B2 40 9E D4 0E 18
+AB 3F B4 CE 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 CE 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 D1 86 5B 45 4C 53 45 5D 00 87 12
+34 C2 01 00 90 C4 3E C8 AC CA 44 C2 E0 C3 5C D2
+8A C2 8A C2 BE C7 04 5B 49 46 5D 00 AC D1 EA C3
+1A D2 FC C8 2C C3 DC C3 50 D2 8A C2 8A C2 BE C7
+06 5B 45 4C 53 45 5D 00 AC D1 EA C3 3E D2 FC C8
+E0 D1 44 C2 E0 C3 3A D2 2C C3 DC C3 50 D2 BE C7
+06 5B 54 48 45 4E 5D 00 AC D1 EA C3 50 D2 E0 D1
+54 C2 EA C3 58 D2 2A C2 DC C3 F4 D1 FC C8 BE C7
+03 0D 6B 6F A2 C7 6A C7 5A C4 44 C2 64 C4 30 C6
+72 D2 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 F4 D1 30 4D E6 D1 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 D2 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 C4 3E C8 9C C8 6C C2 86 C3
+2A C2 98 D2 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 C4 3E C8 9C C8 6C C2 2A C2 64 CE 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE C7 06 0D 1B 5B
+37 6D 23 00 A2 C7 AC C5 BE C7 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 32 34 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 C7 34 C2 30 FF C2 C5 28 C3 78 C5 BE C7
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 CB 7A D1
+04 57 41 52 4D 00 30 40 D4 D2 A4 D1 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 92 D3 30 01 B2 40 88 5A
+5C 01 F2 D0 10 00 16 02 B2 D0 00 84 04 02 B2 D0
+FF 7F 06 02 B2 40 FF 7F 02 02 F2 D0 07 00 36 02
+B2 D0 F0 00 04 02 B2 40 0F FF 02 02 B2 D0 0F FF
+06 02 F2 D0 0F 00 24 03 F2 40 F0 00 22 03 F2 D0
+F0 00 26 03 F2 40 A5 00 61 01 B2 40 86 00 62 01
+82 43 66 01 39 40 C0 00 B2 40 33 00 64 01 D2 43
+61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
+38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
+92 C3 B0 01 F2 D0 10 00 2A 03 F2 C0 40 00 A1 04
+3A 40 48 D3 39 40 CE FF 89 4A 00 00 29 53 FC 23
+92 42 02 18 F0 FF B2 40 18 00 0A 18 31 40 E0 1C
+3F 40 80 1C 37 40 00 C2 36 40 BC C2 35 40 08 C2
+34 40 14 C2 B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3
+30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3 18 53
+82 48 08 18 B2 40 81 00 C0 05 92 43 C6 05 B2 40
+A1 00 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3
+DA 05 3D 40 6C D4 18 42 08 18 38 90 0A 00 90 26
+38 90 16 00 8D 2E 28 93 47 22 3C 26 36 D3 4C D0
+09 41 53 53 45 4D 42 4C 45 52 84 12 14 D0 C4 DA
+70 DB 78 DA C4 DB 3E DA F8 DA 42 D7 00 00 34 DA
+E4 DA 96 DA D4 DA 52 D8 00 00 00 00 D6 DB 48 D0
+CC D2 85 48 49 32 4C 4F 87 12 C2 C5 7C CE 64 CA
+5C CC 52 D0 7A D4 2A C2 3C D3 04 43 4F 44 45 00
+B0 12 26 CD A2 82 C4 1D 87 12 F8 CD DC C3 B2 D4
+00 00 07 45 4E 44 43 4F 44 45 87 12 6C D0 0A CD
+2A C2 70 D4 03 41 53 4D 92 42 C8 1D B8 1D B2 40
+7E D4 C8 1D E5 3F D2 D4 06 45 4E 44 41 53 4D 00
+92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E
+1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43
+B6 1D 30 40 6C D0 A4 C4 05 4C 4F 32 48 49 1A 42
+C4 1D BA 40 B0 12 00 00 BA 40 2A C2 02 00 A2 52
+C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52
+C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
+82 4A C2 1D 30 4D B0 12 2A C2 3E C8 9C C8 EA C3
+7A D5 5E C9 E0 C3 4A CC 9C D5 7C D5 29 4E 39 90
+86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
+02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
+C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12
+C2 1D 92 53 C2 1D B0 12 2A C2 3E C8 5E C9 E0 C3
+CE D5 C4 D5 21 53 3E 90 10 00 BB 2D 30 41 D0 D5
+B2 41 C2 1D 22 D3 30 41 87 12 90 C4 44 D5 E0 D5
+82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E
+3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12
+66 D5 0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93
+04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40
+20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D
+15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93
+04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D
+19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41
+30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D
+92 53 C2 1D 30 12 50 D6 76 3F FA 90 40 00 00 00
+1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12 AE D5
+0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 AE D5
+32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10
+82 5E BC 1D D3 3F B0 12 AE D5 F9 23 B2 50 10 00
+BC 1D 3E 40 28 00 B0 12 66 D5 30 12 A0 D6 67 3F
+87 12 90 C4 44 D5 D8 D6 FE 90 26 00 00 00 3E 40
+20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12 AE D5
+DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12 66 D5
+B0 12 9E D5 D5 23 3D 40 4A CC 30 4D 8A C6 04 52
+45 54 49 00 87 12 34 C2 00 13 64 CA 2A C2 34 C2
+2C 00 D8 D5 D0 D6 28 D7 2E 4E 1E D2 BC 1D 19 42
+BA 1D 92 3F 28 D5 03 4D 4F 56 84 12 1E D7 00 40
+36 D7 05 4D 4F 56 2E 42 84 12 1E D7 40 40 00 00
+03 41 44 44 84 12 1E D7 00 50 50 D7 05 41 44 44
+2E 42 84 12 1E D7 40 50 5C D7 04 41 44 44 43 00
+84 12 1E D7 00 60 6A D7 06 41 44 44 43 2E 42 00
+84 12 1E D7 40 60 0E D7 04 53 55 42 43 00 84 12
+1E D7 00 70 88 D7 06 53 55 42 43 2E 42 00 84 12
+1E D7 40 70 96 D7 03 53 55 42 84 12 1E D7 00 80
+A6 D7 05 53 55 42 2E 42 84 12 1E D7 40 80 0A D5
+03 43 4D 50 84 12 1E D7 00 90 C0 D7 05 43 4D 50
+2E 42 84 12 1E D7 40 90 F8 D4 04 44 41 44 44 00
+84 12 1E D7 00 A0 DA D7 06 44 41 44 44 2E 42 00
+84 12 1E D7 40 A0 CC D7 03 42 49 54 84 12 1E D7
+00 B0 F8 D7 05 42 49 54 2E 42 84 12 1E D7 40 B0
+04 D8 03 42 49 43 84 12 1E D7 00 C0 12 D8 05 42
+49 43 2E 42 84 12 1E D7 40 C0 1E D8 03 42 49 53
+84 12 1E D7 00 D0 2C D8 05 42 49 53 2E 42 84 12
+1E D7 40 D0 00 00 03 58 4F 52 84 12 1E D7 00 E0
+46 D8 05 58 4F 52 2E 42 84 12 1E D7 40 E0 78 D7
+03 41 4E 44 84 12 1E D7 00 F0 60 D8 05 41 4E 44
+2E 42 84 12 1E D7 40 F0 90 C4 D8 D5 7E D8 1A 42
+BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA
+BC 1D 4A 3F B2 D7 03 52 52 43 84 12 78 D8 00 10
+96 D8 05 52 52 43 2E 42 84 12 78 D8 40 10 A2 D8
+04 53 57 50 42 00 84 12 78 D8 80 10 B0 D8 03 52
+52 41 84 12 78 D8 00 11 BE D8 05 52 52 41 2E 42
+84 12 78 D8 40 11 CA D8 03 53 58 54 84 12 78 D8
+80 11 00 00 04 50 55 53 48 00 84 12 78 D8 00 12
+E4 D8 06 50 55 53 48 2E 42 00 84 12 78 D8 40 12
+38 D8 04 43 41 4C 4C 00 84 12 78 D8 80 12 34 C2
+2C 00 D8 D5 D0 D6 18 D9 59 42 BC 1D 5A 42 BD 1D
+82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C
+09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
+0E 4A 87 12 AC C5 BE C7 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 CA CB F2 D8 05 50 55 53 48 4D
+84 12 0E D9 00 15 5A D9 04 50 4F 50 4D 00 84 12
+0E D9 00 17 90 C4 44 D5 7A D9 82 43 BC 1D 92 42
+C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00
+B0 12 2A C2 3E C8 5E C9 E0 C3 4A CC D0 D6 A0 D9
+0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
+D8 D8 04 52 52 43 4D 00 84 12 74 D9 50 00 B2 D9
+04 52 52 41 4D 00 84 12 74 D9 50 01 C0 D9 04 52
+4C 41 4D 00 84 12 74 D9 50 02 CE D9 04 52 52 55
+4D 00 84 12 74 D9 50 03 85 12 00 3C DC D9 03 53
+3E 3D 85 12 00 38 EE D9 02 53 3C 00 85 12 00 34
+68 D9 03 30 3E 3D 85 12 00 30 02 DA 02 30 3C 00
+85 12 00 30 00 00 02 55 3C 00 85 12 00 2C 16 DA
+03 55 3E 3D 85 12 00 28 0C DA 03 30 3C 3E 85 12
+00 24 2A DA 02 30 3D 00 85 12 00 20 96 C6 02 49
+46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A
+30 4D 20 DA 04 54 48 45 4E 00 1A 42 C4 1D 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
+88 DA 00 00 30 4D E8 D7 04 45 4C 53 45 00 1A 42
+C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A
+00 00 E3 3F 54 DA 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D
+30 4D 6C D8 05 41 47 41 49 4E 87 12 E8 D9 9C DA
+2A C2 00 00 05 57 48 49 4C 45 87 12 42 DA 78 C2
+2A C2 F8 D9 06 52 45 50 45 41 54 00 87 12 E8 D9
+9C DA 5A DA 2A C2 00 00 03 4A 4D 50 87 12 3C CC
+E8 D9 9C DA 2A C2 3E B0 00 10 03 20 3E E0 00 04
+30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
+3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
+06 DB 3C CC 78 C2 9C DA 2A C2 3C DB 3D 41 08 4E
+3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D
+88 43 00 00 A4 3F 02 D9 03 42 57 31 84 12 3A DB
+00 00 58 DB 03 42 57 32 84 12 3A DB 00 00 64 DB
+03 42 57 33 84 12 3A DB 00 00 7C DB 3D 41 1A 42
+C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D
+8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
+03 46 57 31 84 12 7A DB 00 00 A0 DB 03 46 57 32
+84 12 7A DB 00 00 AC DB 03 46 57 33 84 12 7A DB
+00 00 B8 DB 04 47 4F 54 4F 00 87 12 E8 D9 3C CC
+5A CA 2A C2 28 DB 05 3F 47 4F 54 4F 87 12 06 DB
+3C CC 5A CA 2A C2 B4 D2 09 7B 55 54 49 4C 49 54
+59 7D 30 4D 7C D0 02 2E 53 00 8F 4E FE FF 0E 4F
+2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
+3F 80 06 00 2E 8F 0E 11 87 12 34 C2 3C 00 1E C7
+AC C5 34 C2 08 00 1E C7 34 C2 3E 00 1E C7 6A C7
+8A C2 8A C2 C4 C3 EA C3 40 DC 62 C2 62 C2 2A C2
+F0 C3 28 C4 F2 C2 78 C5 34 C2 02 00 00 C4 42 DC
+2A C2 F6 DB 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
+3E 40 E0 1C D3 3F 04 C9 01 3F 2E 4E 30 40 78 C5
+30 D3 05 57 4F 52 44 53 87 12 5E C7 34 C2 03 00
+80 C7 34 C2 CA 1D F2 C2 50 C4 34 C2 10 00 44 C2
+20 C3 AE CF 34 C2 00 00 44 C2 34 C2 10 00 44 C2
+20 C3 34 C2 00 00 F0 C3 44 C2 28 C4 50 C4 20 C3
+F2 C2 D2 C3 E0 C3 C6 DC 62 C2 62 C2 28 C4 44 C2
+50 C4 20 C3 F2 C2 34 C2 02 00 00 C4 A8 DC 54 C2
+E0 C3 08 DD 44 C2 34 C2 02 00 28 C3 F2 C2 9A C2
+50 C4 20 C3 FA C2 44 C2 AC CA 34 C2 7F 00 36 C3
+A2 C7 08 C3 34 C2 0F 00 36 C3 34 C2 10 00 78 C2
+28 C3 80 C7 DC C3 94 DC 62 C2 2A C2 A8 CF 03 4D
+41 58 2E 9F 07 38 2F 53 30 4D 0E DD 03 4D 49 4E
+2E 9F F9 3B 3E 4F 30 4D C0 CE 03 55 2E 52 87 12
+B0 C2 9A C4 34 C2 00 00 F6 C4 28 C5 42 C5 BC C2
+8A C2 28 C3 34 C2 00 00 12 DD 80 C7 A2 C7 2A C2
+8A D0 04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40
+10 00 DA 1D 2E 5F B0 12 2A C2 78 C2 8A C2 8A C2
+78 C5 34 C2 01 00 28 C3 78 C5 34 C2 F0 FF 36 C3
+F0 C3 5E C7 28 C4 34 C2 07 00 2E DD 6A C7 28 C4
 34 C2 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2
-03 00 3C DC 12 C4 9A DC 38 C7 38 C7 28 C4 34 C2
+03 00 2E DD 12 C4 9A DD 6A C7 6A C7 28 C4 34 C2
 10 00 20 C3 28 C4 F0 C3 28 C4 08 C3 34 C2 7E 00
-2E DC 90 C4 20 DC EC C6 12 C4 B8 DC 34 C2 10 00
-00 C4 82 DC BC C2 7A C4 FA C2 2A C2
+20 DD 90 C4 12 DD 1E C7 12 C4 B8 DD 34 C2 10 00
+00 C4 82 DD BC C2 7A C4 FA C2 2A C2 E8 DB 0A 7B
+46 49 58 50 4F 49 4E 54 7D 00 30 4D A2 D4 05 48
+4F 4C 44 53 39 4F 09 5E 18 42 AA 1D 19 83 1E 83
+04 28 18 83 E8 49 00 00 F9 3F 82 48 AA 1D 3E 4F
+30 4D 1E D0 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D
+14 DE 02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F
+30 4D 22 DE 02 46 2F 00 1C 4F 02 00 0C EE 0B 43
+18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3
+39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00
+3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40
+20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67
+04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B
+09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44
+34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 30 4D 34 DE 03 46 23 53 2F 83 8F 4E 00 00
+2B 42 B2 90 0A 00 DA 1D 01 20 1B 53 0C 43 A2 4F
+C0 04 92 42 DA 1D C8 04 9F 42 E4 04 00 00 1E 42
+E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00
+CC 4E 88 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00
+39 40 88 1D 78 3F B6 DE 02 46 2A 00 92 4F 04 00
+D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04
+2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04
+30 4D 08 DF 02 46 2E 00 87 12 9A C4 44 C2 B0 C2
+6E C3 78 C2 BA DE 34 C2 2C 00 58 C5 28 C5 BC C2
+66 C5 42 C5 A2 C7 6A C7 2A C2 22 D1 03 53 3E 46
+2F 83 8F 43 00 00 30 4D 52 DD 03 44 3E 46 2E 4F
+8F 43 00 00 30 4D 5C DF 09 32 43 4F 4E 53 54 41
+4E 54 87 12 9E CD 78 C2 64 CA 64 CA B6 CD 90 DF
+2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFCE
-62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2
-62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2
-62 D2 8A C6 62 D2 62 D2 62 D2 62 D2 62 D2 62 D2
-62 D2
+48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3
+48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3
+48 D3 A4 C6 48 D3 48 D3 48 D3 48 D3 48 D3 48 D3
+48 D3
 q
index abeb6b5..d4b6795 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A 48 80 3E 80 04 05 00 18 00 C8 5E 98 55
-4A 48 5C 48 00 00 00 00
+10 00 A4 48 80 3E 80 04 05 00 18 00 88 61 8A 56
+64 48 76 48 00 00 00 00
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,396 +51,440 @@ AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 46 04 42 41 53 45 00 85 12 DA 1D C8 44
 05 53 54 41 54 45 85 12 B6 1D 74 46 02 42 4C 00
 85 12 20 00 B4 45 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 46 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 44 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 46 02 23 53 00 87 12
-A6 46 04 47 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 46 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 46 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 46 02 55 2E 00 87 12
-9A 46 34 44 00 00 FE 46 18 47 70 49 38 49 2A 44
-68 45 02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45
-FE 46 9A 44 3C 47 18 47 70 49 38 49 2A 44 50 45
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 47 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 45 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 47 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A 48 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-5C 48 30 4D 92 47 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 46 03 4B 45 59 30 40 EC 47 A6 47 06 41
-43 43 45 50 54 00 30 40 16 48 E6 47 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 48 3B 40 92 48 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC 48 92 B3 DC 05 05 24 18 42 CC 05 38 90 0A 00
-04 20 21 53 39 40 76 48 4D 15 B2 40 11 00 CE 05
-E2 C3 23 02 A2 B3 DC 05 FD 27 30 41 B2 40 13 00
-CE 05 E2 D3 23 02 A2 B3 DC 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 48 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-CC 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 CE 05 A2 B3 DC 05 FD 27 30 4D BE 48 2D 83
-92 B3 DC 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C 48 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 47 04 45 4D 49 54 00 30 40 DE 48
-E6 48 04 45 43 48 4F 00 B2 40 82 48 B0 48 30 4D
-80 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 48
-30 4D D6 48 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 47 02 43 52 00 30 40 1A 49
-36 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A 49 EF 3F 5C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A 47 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 45
-28 46 08 45 EC 48 12 46 80 49 2A 44 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D 30 4D
-A2 49 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 1D
-30 4D 46 49 82 53 22 00 87 12 34 44 8C 49 EE 4B
-BC 49 34 44 22 00 0C 4A AA 49 DC 49 3D 41 6E 4E
-1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63 C4 1D
-30 4D 02 49 82 2E 22 00 87 12 C8 49 34 44 70 49
-EE 4B 2A 44 00 00 04 57 4F 52 44 00 3C 40 BE 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 1D 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C 4B 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E 4B 2F 53
-0E 93 2C 17 82 4C DA 1D 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 48 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-C4 1D A2 53 C4 1D 8A 4E 00 00 3E 4F 30 4D EC 4B
-87 4C 49 54 45 52 41 4C 82 93 B6 1D 0F 24 1A 42
-C4 1D A2 52 C4 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 1D B2 4F C0 1D 3E 4F 82 43
-C2 1D 87 12 90 46 0C 4A 5A 4C 3D 40 66 4C 05 23
-3D 41 3E 4F 30 4D 68 4C 0A 4E 3E 4F 3D 40 7E 4C
-5A 27 3D 40 54 4C 1A E2 B6 1D B9 27 B3 23 80 4C
-3E 4F 3D 40 54 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE 4E CD 3F DC 4B 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 44 4C BC 4C B2 41 C2 1D
-B2 41 C0 1D B2 41 BE 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-B6 1D 82 43 08 18 B0 12 2A 44 8C 49 03 0D 6F 6B
-70 49 38 49 5A 46 44 44 64 46 06 48 38 49 44 4C
-DE 44 92 45 8C 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E 4D 34 44 30 FF 98 47 D2 45 8C 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E 4D 86 46
-F2 44 E0 45 EA 4C 8C 49 03 0D 20 20 DC 45 F0 4C
-FE 47 05 41 42 4F 52 54 3F 40 80 1C C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 48 B0 12 62 52 A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 8C 49 04 1B 5B 37
-6D 00 70 49 70 49 8C 49 04 1B 5B 30 6D 00 70 49
-70 51 B2 51 B8 51 00 52 AA 49 48 4D 42 4D 86 41
-42 4F 52 54 22 00 87 12 C8 49 34 44 4E 4D EE 4B
-2A 44 64 4A 01 27 87 12 90 46 0C 4A 6A 4A E0 45
-D4 4D 2A 44 88 4C 96 46 81 5C 92 42 BE 1D C2 1D
-30 4D 00 00 81 5B 82 43 B6 1D 30 4D D8 4D 01 5D
-B2 43 B6 1D 30 4D E4 4D 83 5B 27 5D 87 12 C6 4D
-34 44 34 44 EE 4B EE 4B 2A 44 BE 4F 02 00 3E 4F
-30 4D 14 49 82 49 53 00 87 12 86 46 F2 44 E0 45
-2C 4E FC 4D 34 44 0A 4E EE 4B 2A 44 C6 4D 0A 4E
-2A 44 14 4E 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 1D FA D0 80 00 00 00 30 4D C4 49 87 52 45 43
-55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
-C4 1D 30 4D CE 4C 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 46 0C 4A 6A 4A 54 44 E0 45 D4 4D 92 45
-E0 45 90 4E 34 44 34 44 EE 4B EE 4B 34 44 EE 4B
-EE 4B 2A 44 82 9F B4 1D A0 24 87 12 8C 49 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 4D
-87 12 E8 49 90 46 0C 4A BA 4E 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
-C4 1D 3E 4F 3D 41 30 41 06 4A 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 4E BA 40 86 12 FC FF 71 3C
-30 4C 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 4E
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 4F
-06 43 52 45 41 54 45 00 B0 12 B0 4E BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E 4C 05 44 4F 45 53 3E
-1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A 4F 05 44 45 46 45 52 B0 12 B0 4E BA 40
-30 40 FC FF BA 40 50 4F FE FF 3B 3C F8 4D 01 3A
-B0 12 B0 4E BA 40 87 12 FC FF A2 83 C4 1D B2 43
-B6 1D 82 4F B4 1D 30 4D 6E 4F 81 3B 82 93 B6 1D
-24 27 87 12 34 44 2A 44 EE 4B 94 4E E6 4D 2A 44
-A2 4F 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 4C 06 4D 41 52 4B 45 52 00 B0 12 B0 4E BA 40
-84 12 FC FF BA 40 A0 4F FE FF 9A 42 C6 1D 00 00
-28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
-B0 1D A8 49 FE FF 89 48 00 00 30 4D 34 4E 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
-BE 40 E0 45 00 00 2E 53 30 4D 54 4F 84 45 4C 53
-45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC 45 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A 49 84 54 48 45
-4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 20 4F 85 42
-45 47 49 4E 30 40 98 47 2C 50 85 55 4E 54 49 4C
-39 40 E0 45 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE 4D 85 41 47 41 49 4E
-39 40 DC 45 EF 3F EA 4E 85 57 48 49 4C 45 87 12
-F2 4F 78 44 2A 44 4C 4E 86 52 45 50 45 41 54 00
-87 12 70 50 32 50 2A 44 0C 50 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 45
-FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
-B2 4F 84 4C 4F 4F 50 00 39 40 12 46 A2 52 C4 1D
-1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
-A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 47 85 2B 4C 4F 4F 50 39 40 00 46
-E5 3F C2 50 85 4C 45 41 56 45 1A 42 C4 1D BA 40
-22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00 C4 1D
-A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
-04 51 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 44 CA 1D FA 44 2A 44
-84 12 68 51 DE 55 B4 55 4C 5E C4 4D 9C 55 F6 50
-16 5E 4E 5D 24 52 3E 52 24 5E 6C 5D 46 45 E2 5C
-EE 4D 62 5D 00 00 3A 40 0E 00 39 40 CA 1D 38 40
-CC 1D D9 3F 3A 40 0E 00 39 40 CC 1D 38 40 CA 1D
-CC 3F 82 43 CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D
-C2 51 3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 4E 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 51 98 55 C8 5E 88 50 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 52 92 42 0C 18 06 52
-EF 3F F6 51 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 1D 04 52 92 42 C4 1D 06 52 30 4D 0A 52 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42
-C4 1D 0C 18 EC 3F B2 40 F0 53 52 54 B2 40 84 48
-78 48 B2 40 DE 48 EE 48 B2 40 1A 49 2E 49 B2 40
-EC 47 FA 47 82 43 5A 5C 82 43 66 5C 82 43 72 5C
-82 43 A2 5C 82 43 AE 5C 82 43 BA 5C B2 40 0A 00
-DA 1D 30 41 78 50 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 CC FF FA 23 B0 12 56 52
-B2 40 C8 5E 0C 18 B2 40 98 55 0E 18 AB 3F 3E 50
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A 4F 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 52 86 5B 45 4C 53 45 5D 00 87 12 34 44 01 00
-90 46 0C 4A 36 4C 44 44 E0 45 78 53 8A 44 8A 44
-8C 49 04 5B 49 46 5D 00 C8 52 EA 45 36 53 CA 4A
-2C 45 DC 45 6C 53 8A 44 8A 44 8C 49 06 5B 45 4C
-53 45 5D 00 C8 52 EA 45 5A 53 CA 4A FC 52 44 44
-E0 45 56 53 2C 45 DC 45 6C 53 8C 49 06 5B 54 48
-45 4E 5D 00 C8 52 EA 45 6C 53 FC 52 54 44 EA 45
-74 53 2A 44 DC 45 10 53 CA 4A 8C 49 03 0D 6B 6F
-70 49 38 49 5A 46 44 44 64 46 06 48 8E 53 82 43
-C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 10 53
-30 4D 02 53 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 53 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 46 0C 4A 6A 4A 6C 44 86 45 2A 44 B4 53
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 46 0C 4A
-6A 4A 6C 44 2A 44 EE 4F 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C 49 05 0D 1B 5B 37 6D 70 49
-82 47 8C 49 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 49 34 44
-30 FF 98 47 28 45 4E 47 8C 49 0B 62 79 74 65 73
-20 66 72 65 65 20 5A 4D 96 52 04 57 41 52 4D 00
-30 40 F0 53 C0 52 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A 5C 01 92 D3 04 02 B2 40 FE FF
-02 02 A2 83 06 02 B2 D0 00 42 24 02 B2 D3 26 02
-B2 40 FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40
-A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
-A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
-80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
-F2 C0 40 00 A1 04 3A 40 62 54 39 40 CC FF 89 4A
-00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
-0A 18 31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40
-BC 44 35 40 08 44 34 40 14 44 B2 40 0A 00 DA 1D
-B2 43 AC 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02
-04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
-B2 42 C6 05 B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02
-92 C3 C0 05 92 D3 DA 05 3D 40 72 55 18 42 08 18
-38 90 0A 00 9B 26 38 90 16 00 98 2E 28 93 52 22
-47 26 50 54 84 12 68 51 BE 5B 6A 5C 72 5B BE 5C
-38 5B F2 5B 3C 58 00 00 2E 5B DE 5B 90 5B CE 5B
-4C 59 00 00 00 00 D0 5C 94 51 E8 53 85 48 49 32
-4C 4F 87 12 98 47 06 50 EE 4B E6 4D 96 51 74 55
-2A 44 56 54 04 43 4F 44 45 00 B0 12 B0 4E A2 82
-C4 1D 87 12 82 4F DC 45 AC 55 00 00 07 45 4E 44
-43 4F 44 45 87 12 A4 51 94 4E 2A 44 6A 50 03 41
-53 4D 92 42 C8 1D B8 1D B2 40 78 55 C8 1D E5 3F
-CC 55 06 45 4E 44 41 53 4D 00 92 42 B8 1D C8 1D
-E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D BA 40
-87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40 A4 51
-00 00 05 4C 4F 32 48 49 1A 42 C4 1D BA 40 B0 12
-00 00 BA 40 2A 44 02 00 A2 52 C4 1D ED 3F 38 40
-BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A 03 24
-7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D 30 4D
-B0 12 2A 44 0C 4A 6A 4A EA 45 74 56 26 4B E0 45
-D4 4D 96 56 76 56 29 4E 39 90 86 12 02 20 2E 53
-30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
-84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53 C4 1D
-89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53 C2 1D
-B0 12 2A 44 0C 4A 26 4B E0 45 C8 56 BE 56 21 53
-3E 90 10 00 BB 2D 30 41 CA 56 B2 41 C2 1D 22 D3
-30 41 87 12 90 46 3E 56 DA 56 82 43 BC 1D 92 42
-C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 C2 1D B0 12 60 56 0E 93 04 20
-B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40 10 03
-BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D 1B 3C
-2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92 04 20
-B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40 30 03
-BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D A2 53
-C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
-00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D 30 12
-4A 57 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-BC 1D 92 53 C2 1D B0 12 A8 56 0E 20 B2 50 10 00
-BC 1D 3E 40 2B 00 B0 12 A8 56 32 24 92 92 BE 1D
-C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D D3 3F
-B0 12 A8 56 F9 23 B2 50 10 00 BC 1D 3E 40 28 00
-B0 12 60 56 30 12 9A 57 67 3F 87 12 90 46 3E 56
-D2 57 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 BC 1D C2 3F B0 12 A8 56 DF 23 B2 50 80 00
-BC 1D 3E 40 28 00 B0 12 60 56 B0 12 98 56 D5 23
-3D 40 D4 4D 30 4D 70 48 04 52 45 54 49 00 87 12
-34 44 00 13 EE 4B 2A 44 34 44 2C 00 D2 56 CA 57
-22 58 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F 22 56
-03 4D 4F 56 84 12 18 58 00 40 30 58 05 4D 4F 56
-2E 42 84 12 18 58 40 40 00 00 03 41 44 44 84 12
-18 58 00 50 4A 58 05 41 44 44 2E 42 84 12 18 58
-40 50 56 58 04 41 44 44 43 00 84 12 18 58 00 60
-64 58 06 41 44 44 43 2E 42 00 84 12 18 58 40 60
-08 58 04 53 55 42 43 00 84 12 18 58 00 70 82 58
-06 53 55 42 43 2E 42 00 84 12 18 58 40 70 90 58
-03 53 55 42 84 12 18 58 00 80 A0 58 05 53 55 42
-2E 42 84 12 18 58 40 80 04 56 03 43 4D 50 84 12
-18 58 00 90 BA 58 05 43 4D 50 2E 42 84 12 18 58
-40 90 F2 55 04 44 41 44 44 00 84 12 18 58 00 A0
-D4 58 06 44 41 44 44 2E 42 00 84 12 18 58 40 A0
-C6 58 03 42 49 54 84 12 18 58 00 B0 F2 58 05 42
-49 54 2E 42 84 12 18 58 40 B0 FE 58 03 42 49 43
-84 12 18 58 00 C0 0C 59 05 42 49 43 2E 42 84 12
-18 58 40 C0 18 59 03 42 49 53 84 12 18 58 00 D0
-26 59 05 42 49 53 2E 42 84 12 18 58 40 D0 00 00
-03 58 4F 52 84 12 18 58 00 E0 40 59 05 58 4F 52
-2E 42 84 12 18 58 40 E0 72 58 03 41 4E 44 84 12
-18 58 00 F0 5A 59 05 41 4E 44 2E 42 84 12 18 58
-40 F0 90 46 D2 56 78 59 1A 42 BC 1D B2 F0 70 00
-BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F AC 58
-03 52 52 43 84 12 72 59 00 10 90 59 05 52 52 43
-2E 42 84 12 72 59 40 10 9C 59 04 53 57 50 42 00
-84 12 72 59 80 10 AA 59 03 52 52 41 84 12 72 59
-00 11 B8 59 05 52 52 41 2E 42 84 12 72 59 40 11
-C4 59 03 53 58 54 84 12 72 59 80 11 00 00 04 50
-55 53 48 00 84 12 72 59 00 12 DE 59 06 50 55 53
-48 2E 42 00 84 12 72 59 40 12 32 59 04 43 41 4C
-4C 00 84 12 72 59 80 12 34 44 2C 00 D2 56 CA 57
-12 5A 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D BE 90
-00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 82 47
-8C 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-54 4D EC 59 05 50 55 53 48 4D 84 12 08 5A 00 15
-54 5A 04 50 4F 50 4D 00 84 12 08 5A 00 17 90 46
-3E 56 74 5A 82 43 BC 1D 92 42 C4 1D BA 1D A2 53
-C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 44 0C 4A
-26 4B E0 45 D4 4D CA 57 9A 5A 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F D2 59 04 52 52 43
-4D 00 84 12 6E 5A 50 00 AC 5A 04 52 52 41 4D 00
-84 12 6E 5A 50 01 BA 5A 04 52 4C 41 4D 00 84 12
-6E 5A 50 02 C8 5A 04 52 52 55 4D 00 84 12 6E 5A
-50 03 85 12 00 3C D6 5A 03 53 3E 3D 85 12 00 38
-E8 5A 02 53 3C 00 85 12 00 34 62 5A 03 30 3E 3D
-85 12 00 30 FC 5A 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 10 5B 03 55 3E 3D 85 12
-00 28 06 5B 03 30 3C 3E 85 12 00 24 24 5B 02 30
-3D 00 85 12 00 20 7C 48 02 49 46 00 1A 42 C4 1D
-8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 1A 5B 04 54
-48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-E2 58 04 45 4C 53 45 00 1A 42 C4 1D BA 40 00 3C
-00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F 4E 5B
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C4 1D
-2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 C4 1D 30 4D 66 59 05 41
-47 41 49 4E 87 12 E2 5A 96 5B 2A 44 00 00 05 57
-48 49 4C 45 87 12 3C 5B 78 44 2A 44 F2 5A 06 52
-45 50 45 41 54 00 87 12 E2 5A 96 5B 54 5B 2A 44
-00 00 03 4A 4D 50 87 12 C6 4D E2 5A 96 5B 2A 44
-3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
-06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 00 5C C6 4D 78 44
-96 5B 2A 44 36 5C 3D 41 08 4E 3E 4F 2A 48 0A 93
-04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00 A4 3F
-FC 59 03 42 57 31 84 12 34 5C 00 00 52 5C 03 42
-57 32 84 12 34 5C 00 00 5E 5C 03 42 57 33 84 12
-34 5C 00 00 76 5C 3D 41 1A 42 C4 1D 28 4E 08 93
-08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00 3E 4F
-30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-74 5C 00 00 9A 5C 03 46 57 32 84 12 74 5C 00 00
-A6 5C 03 46 57 33 84 12 74 5C 00 00 B2 5C 04 47
-4F 54 4F 00 87 12 E2 5A C6 4D E4 4B 2A 44 22 5C
-05 3F 47 4F 54 4F 87 12 00 5C C6 4D E4 4B 2A 44
-D0 53 09 7B 55 54 49 4C 49 54 59 7D 30 4D F4 49
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 44 3C 00 EC 48 82 47 34 44 08 00
-EC 48 34 44 3E 00 EC 48 38 49 8A 44 8A 44 C4 45
-EA 45 3A 5D 62 44 62 44 2A 44 F0 45 28 46 F2 44
-4E 47 34 44 02 00 00 46 3C 5D 2A 44 F0 5C 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F
-D2 4A 01 3F 2E 4E 30 40 4E 47 4A 54 05 57 4F 52
-44 53 87 12 2C 49 34 44 03 00 4E 49 34 44 CA 1D
-F2 44 50 46 34 44 10 00 44 44 20 45 38 51 34 44
-00 00 44 44 34 44 10 00 44 44 20 45 34 44 00 00
-F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45 E0 45
-C0 5D 62 44 62 44 28 46 44 44 50 46 20 45 F2 44
-34 44 02 00 00 46 A2 5D 54 44 E0 45 02 5E 44 44
-34 44 02 00 28 45 F2 44 9A 44 50 46 20 45 FA 44
-44 44 36 4C 34 44 7F 00 36 45 70 49 08 45 34 44
-0F 00 36 45 34 44 10 00 78 44 28 45 4E 49 DC 45
-8E 5D 62 44 2A 44 32 51 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 08 5E 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 4A 50 03 55 2E 52 87 12 B0 44 9A 46 34 44
-00 00 A6 46 FE 46 18 47 BC 44 8A 44 28 45 34 44
-00 00 0C 5E 4E 49 70 49 2A 44 9A 50 04 44 55 4D
-50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D 2E 5F
-BF F0 F0 FF 00 00 B0 12 2A 44 78 44 F0 45 2C 49
-28 46 34 44 07 00 28 5E 38 49 28 46 34 44 10 00
-20 45 28 46 F0 45 28 46 08 45 34 44 03 00 28 5E
-12 46 86 5E 38 49 38 49 28 46 34 44 10 00 20 45
-28 46 F0 45 28 46 08 45 34 44 7E 00 1A 5E 90 46
-0C 5E EC 48 12 46 A4 5E 34 44 10 00 00 46 6E 5E
-BC 44 7A 46 FA 44 2A 44
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 46 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 46 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 46 02 23 53 00 87 12 F6 46 2E 47 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 47 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 46 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 46
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 46 02 55 2E 00 87 12 9A 46 34 44 00 00
+28 47 42 47 A2 49 6A 49 2A 44 68 45 02 44 2E 00
+87 12 9A 46 78 44 8A 44 6E 45 28 47 9A 44 66 47
+42 47 A2 49 6A 49 2A 44 50 45 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 47 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 45
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 47
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 48 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 76 48 30 4D BC 47
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 46 03 4B
+45 59 30 40 16 48 D0 47 06 41 43 43 45 50 54 00
+3C 40 FA 48 3B 40 AC 48 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE 48 92 B3 DC 05
+05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
+90 48 4D 15 B2 40 11 00 CE 05 E2 C3 23 02 A2 B3
+DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D3 23 02
+A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E 48 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 48 0F 3C C6 48 38 40 20 00 3D 52 0A 3C D0 48
+78 42 3D 40 EE 48 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D F0 48
+2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 48 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C 47 04 45 4D 49 54 00 30 40
+10 49 18 49 04 45 43 48 4F 00 B2 40 82 48 E2 48
+30 4D AA 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 48 30 4D 08 49 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 47 02 43 52 00 30 40
+4C 49 60 47 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 49 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C 49 EF 3F 8E 49 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 47 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 45 28 46 08 45 1E 49 12 46 B2 49 2A 44 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D
+30 4D D4 49 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 1D 30 4D 78 49 82 53 22 00 87 12 34 44 BE 49
+64 4C EE 49 34 44 22 00 3E 4A DC 49 0E 4A 3D 41
+6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63
+C4 1D 30 4D 34 49 82 2E 22 00 87 12 FA 49 34 44
+A2 49 64 4C 2A 44 00 00 04 57 4F 52 44 00 3C 40
+BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 4B
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC 46
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 49 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 45 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E 00 00
+3E 4F 30 4D 62 4C 87 4C 49 54 45 52 41 4C 82 93
+B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40 34 44
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 49 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 82 43 C2 1D 87 12 90 46 3E 4A D0 4C
+3D 40 DC 4C E3 22 3D 41 3E 4F 30 4D DE 4C 0A 4E
+3E 4F 3D 40 F4 4C 3B 27 3D 40 CA 4C 1A E2 B6 1D
+B9 27 B3 23 F6 4C 3E 4F 3D 40 CA 4C C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 4F
+CD 3F 52 4C 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44 BA 4C
+32 4D B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D 3D 41
+30 4D 4C 46 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12 2A 44
+BE 49 03 0D 6F 6B A2 49 6A 49 5A 46 44 44 64 46
+30 48 6A 49 BA 4C DE 44 92 45 BE 49 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 4D 34 44 30 FF
+C2 47 D2 45 BE 49 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 4D 86 46 F2 44 E0 45 60 4D BE 49 03 0D
+20 20 DC 45 66 4D 28 48 05 41 42 4F 52 54 3F 40
+80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 48
+B0 12 46 53 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
+E2 C3 23 02 92 C3 DC 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23 87 12
+BE 49 04 1B 5B 37 6D 00 A2 49 A2 49 BE 49 04 1B
+5B 30 6D 00 A2 49 24 52 82 52 96 52 E4 52 DC 49
+BE 4D B8 4D 86 41 42 4F 52 54 22 00 87 12 FA 49
+34 44 C4 4D 64 4C 2A 44 96 4A 01 27 87 12 90 46
+3E 4A 9C 4A E0 45 4A 4E 2A 44 FE 4C 96 46 81 5C
+92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43 B6 1D
+30 4D 4E 4E 01 5D B2 43 B6 1D 30 4D 5A 4E 83 5B
+27 5D 87 12 3C 4E 34 44 34 44 64 4C 64 4C 2A 44
+BE 4F 02 00 3E 4F 30 4D 46 49 82 49 53 00 87 12
+86 46 F2 44 E0 45 A2 4E 72 4E 34 44 80 4E 64 4C
+2A 44 3C 4E 80 4E 2A 44 8A 4E 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00 30 4D
+F6 49 87 52 45 43 55 52 53 45 19 42 C4 1D 99 42
+B2 1D 00 00 A2 53 C4 1D 30 4D 44 4D 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 46 3E 4A 9C 4A 54 44
+E0 45 4A 4E 92 45 E0 45 06 4F 34 44 34 44 64 4C
+64 4C 34 44 64 4C 64 4C 2A 44 82 9F B4 1D A0 24
+87 12 BE 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA 4D 87 12 1A 4A 90 46 3E 4A 30 4F
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D 82 4A
+B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41 38 4A
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 4F BA 40
+86 12 FC FF 71 3C A6 4C 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 4F BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 4F 06 43 52 45 41 54 45 00 B0 12
+26 4F BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 4D
+05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 4F 05 44 45 46 45 52
+B0 12 26 4F BA 40 30 40 FC FF BA 40 C6 4F FE FF
+3B 3C 6E 4E 01 3A B0 12 26 4F BA 40 87 12 FC FF
+A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D E4 4F
+81 3B 82 93 B6 1D 24 27 87 12 34 44 2A 44 64 4C
+0A 4F 5C 4E 2A 44 18 50 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 4C 06 4D 41 52 4B 45 52 00
+B0 12 26 4F BA 40 84 12 FC FF BA 40 16 50 FE FF
+9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52 C4 1D
+18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48 00 00
+30 4D AA 4E 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 1D A2 52 C4 1D BE 40 E0 45 00 00 2E 53 30 4D
+CA 4F 84 45 4C 53 45 00 A2 52 C4 1D 1A 42 C4 1D
+BA 40 DC 45 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C 49 84 54 48 45 4E 00 9E 42 C4 1D 00 00 3E 4F
+30 4D 96 4F 85 42 45 47 49 4E 30 40 C2 47 A2 50
+85 55 4E 54 49 4C 39 40 E0 45 A2 52 C4 1D 1A 42
+C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 4E
+85 41 47 41 49 4E 39 40 DC 45 EF 3F 60 4F 85 57
+48 49 4C 45 87 12 68 50 78 44 2A 44 C2 4E 86 52
+45 50 45 41 54 00 87 12 E6 50 A8 50 2A 44 82 50
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D 1E 42
+C4 1D BE 40 F0 45 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D 28 50 84 4C 4F 4F 50 00 39 40
+12 46 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E 48 85 2B 4C 4F
+4F 50 39 40 00 46 E5 3F 38 51 85 4C 45 41 56 45
+1A 42 C4 1D BA 40 22 46 00 00 BA 40 DC 45 02 00
+B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 7A 51 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE 50
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E 4F
+34 44 10 00 34 44 00 00 F0 45 34 44 00 00 64 4C
+12 46 FA 51 C2 47 34 44 C6 1D 44 44 F2 44 64 4C
+FA 44 B6 4F 34 44 CA 1D FA 44 2A 44 3A 4E 05 46
+4F 52 54 48 84 12 14 52 D0 56 A6 56 56 61 20 61
+DA 5F 6C 51 08 5F 40 5E 08 53 64 61 16 5F 5E 5E
+46 45 CA 5F 64 4E 54 5E 00 00 E0 50 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D B6 3F
+DC 4E 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 1D 38 40 CA 1D A3 3F 26 4A 04 4F 4E 4C
+59 00 82 43 CC 1D 30 4D 10 51 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D 24 52
+82 52 96 52 A6 52 3A 4E 82 4A C6 1D 2E 4E 82 4E
+C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 52 09 50 57 52 5F 53
+54 41 54 45 84 12 9E 52 8A 56 88 61 FE 50 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 52 92 42
+0C 18 EA 52 EF 3F DA 52 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D E8 52 92 42 C4 1D EA 52 30 4D
+EE 52 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
+0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 D4 54 38 55
+B2 40 9E 48 92 48 B2 40 10 49 20 49 B2 40 4C 49
+60 49 B2 40 16 48 24 48 82 43 4C 5D 82 43 58 5D
+82 43 64 5D 82 43 94 5D 82 43 A0 5D 82 43 AC 5D
+B2 40 0A 00 DA 1D 30 41 E0 51 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 CC FF FA 23
+B0 12 3A 53 B2 40 88 61 0C 18 B2 40 8A 56 0E 18
+AB 3F B4 50 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 50 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 53 86 5B 45 4C 53 45 5D 00 87 12
+34 44 01 00 90 46 3E 4A AC 4C 44 44 E0 45 5C 54
+8A 44 8A 44 BE 49 04 5B 49 46 5D 00 AC 53 EA 45
+1A 54 FC 4A 2C 45 DC 45 50 54 8A 44 8A 44 BE 49
+06 5B 45 4C 53 45 5D 00 AC 53 EA 45 3E 54 FC 4A
+E0 53 44 44 E0 45 3A 54 2C 45 DC 45 50 54 BE 49
+06 5B 54 48 45 4E 5D 00 AC 53 EA 45 50 54 E0 53
+54 44 EA 45 58 54 2A 44 DC 45 F4 53 FC 4A BE 49
+03 0D 6B 6F A2 49 6A 49 5A 46 44 44 64 46 30 48
+72 54 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 F4 53 30 4D E6 53 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 54 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 46 3E 4A 9C 4A 6C 44 86 45
+2A 44 98 54 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 46 3E 4A 9C 4A 6C 44 2A 44 64 50 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE 49 06 0D 1B 5B
+37 6D 23 00 A2 49 AC 47 BE 49 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 49 34 44 30 FF C2 47 28 45 78 47 BE 49
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 4D 7A 53
+04 57 41 52 4D 00 30 40 D4 54 A4 53 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 D3
+04 02 B2 40 FE FF 02 02 A2 83 06 02 B2 D0 00 42
+24 02 B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03
+F2 D3 26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
+D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
+82 43 66 01 39 40 80 00 B2 40 33 00 64 01 D2 43
+61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
+38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
+F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 3A 40 48 55
+39 40 CC FF 89 4A 00 00 29 53 FC 23 92 42 02 18
+F0 FF B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C
+37 40 00 44 36 40 BC 44 35 40 08 44 34 40 14 44
+B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42
+08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
+B2 40 81 00 C0 05 B2 42 C6 05 B2 40 A1 F7 C8 05
+F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
+58 56 18 42 08 18 38 90 0A 00 9A 26 38 90 16 00
+97 2E 28 93 51 22 46 26 36 55 4C 52 09 41 53 53
+45 4D 42 4C 45 52 84 12 14 52 B0 5C 5C 5D 64 5C
+B0 5D 2A 5C E4 5C 2E 59 00 00 20 5C D0 5C 82 5C
+C0 5C 3E 5A 00 00 00 00 C2 5D 48 52 CC 54 85 48
+49 32 4C 4F 87 12 C2 47 7C 50 64 4C 5C 4E 52 52
+66 56 2A 44 3C 55 04 43 4F 44 45 00 B0 12 26 4F
+A2 82 C4 1D 87 12 F8 4F DC 45 9E 56 00 00 07 45
+4E 44 43 4F 44 45 87 12 6C 52 0A 4F 2A 44 5C 56
+03 41 53 4D 92 42 C8 1D B8 1D B2 40 6A 56 C8 1D
+E5 3F BE 56 06 45 4E 44 41 53 4D 00 92 42 B8 1D
+C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D
+BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40
+6C 52 A4 46 05 4C 4F 32 48 49 1A 42 C4 1D BA 40
+B0 12 00 00 BA 40 2A 44 02 00 A2 52 C4 1D ED 3F
+38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A
+03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D
+30 4D B0 12 2A 44 3E 4A 9C 4A EA 45 66 57 5E 4B
+E0 45 4A 4E 88 57 68 57 29 4E 39 90 86 12 02 20
+2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
+39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53
+C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53
+C2 1D B0 12 2A 44 3E 4A 5E 4B E0 45 BA 57 B0 57
+21 53 3E 90 10 00 BB 2D 30 41 BC 57 B2 41 C2 1D
+22 D3 30 41 87 12 90 46 30 57 CC 57 82 43 BC 1D
+92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90
+23 00 00 00 34 20 92 53 C2 1D B0 12 52 57 0E 93
+04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40
+10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D
+1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92
+04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40
+30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D
+A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
+26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D
+30 12 3C 58 76 3F FA 90 40 00 00 00 1A 20 B2 40
+20 00 BC 1D 92 53 C2 1D B0 12 9A 57 0E 20 B2 50
+10 00 BC 1D 3E 40 2B 00 B0 12 9A 57 32 24 92 92
+BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D
+D3 3F B0 12 9A 57 F9 23 B2 50 10 00 BC 1D 3E 40
+28 00 B0 12 52 57 30 12 8C 58 67 3F 87 12 90 46
+30 57 C4 58 FE 90 26 00 00 00 3E 40 20 00 04 20
+B2 50 82 00 BC 1D C2 3F B0 12 9A 57 DF 23 B2 50
+80 00 BC 1D 3E 40 28 00 B0 12 52 57 B0 12 8A 57
+D5 23 3D 40 4A 4E 30 4D 8A 48 04 52 45 54 49 00
+87 12 34 44 00 13 64 4C 2A 44 34 44 2C 00 C4 57
+BC 58 14 59 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F
+14 57 03 4D 4F 56 84 12 0A 59 00 40 22 59 05 4D
+4F 56 2E 42 84 12 0A 59 40 40 00 00 03 41 44 44
+84 12 0A 59 00 50 3C 59 05 41 44 44 2E 42 84 12
+0A 59 40 50 48 59 04 41 44 44 43 00 84 12 0A 59
+00 60 56 59 06 41 44 44 43 2E 42 00 84 12 0A 59
+40 60 FA 58 04 53 55 42 43 00 84 12 0A 59 00 70
+74 59 06 53 55 42 43 2E 42 00 84 12 0A 59 40 70
+82 59 03 53 55 42 84 12 0A 59 00 80 92 59 05 53
+55 42 2E 42 84 12 0A 59 40 80 F6 56 03 43 4D 50
+84 12 0A 59 00 90 AC 59 05 43 4D 50 2E 42 84 12
+0A 59 40 90 E4 56 04 44 41 44 44 00 84 12 0A 59
+00 A0 C6 59 06 44 41 44 44 2E 42 00 84 12 0A 59
+40 A0 B8 59 03 42 49 54 84 12 0A 59 00 B0 E4 59
+05 42 49 54 2E 42 84 12 0A 59 40 B0 F0 59 03 42
+49 43 84 12 0A 59 00 C0 FE 59 05 42 49 43 2E 42
+84 12 0A 59 40 C0 0A 5A 03 42 49 53 84 12 0A 59
+00 D0 18 5A 05 42 49 53 2E 42 84 12 0A 59 40 D0
+00 00 03 58 4F 52 84 12 0A 59 00 E0 32 5A 05 58
+4F 52 2E 42 84 12 0A 59 40 E0 64 59 03 41 4E 44
+84 12 0A 59 00 F0 4C 5A 05 41 4E 44 2E 42 84 12
+0A 59 40 F0 90 46 C4 57 6A 5A 1A 42 BC 1D B2 F0
+70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F
+9E 59 03 52 52 43 84 12 64 5A 00 10 82 5A 05 52
+52 43 2E 42 84 12 64 5A 40 10 8E 5A 04 53 57 50
+42 00 84 12 64 5A 80 10 9C 5A 03 52 52 41 84 12
+64 5A 00 11 AA 5A 05 52 52 41 2E 42 84 12 64 5A
+40 11 B6 5A 03 53 58 54 84 12 64 5A 80 11 00 00
+04 50 55 53 48 00 84 12 64 5A 00 12 D0 5A 06 50
+55 53 48 2E 42 00 84 12 64 5A 40 12 24 5A 04 43
+41 4C 4C 00 84 12 64 5A 80 12 34 44 2C 00 C4 57
+BC 58 04 5B 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D
+BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
+3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
+AC 47 BE 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 CA 4D DE 5A 05 50 55 53 48 4D 84 12 FA 5A
+00 15 46 5B 04 50 4F 50 4D 00 84 12 FA 5A 00 17
+90 46 30 57 66 5B 82 43 BC 1D 92 42 C4 1D BA 1D
+A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 44
+3E 4A 5E 4B E0 45 4A 4E BC 58 8C 5B 0A 4E 3E 4F
+1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F C4 5A 04 52
+52 43 4D 00 84 12 60 5B 50 00 9E 5B 04 52 52 41
+4D 00 84 12 60 5B 50 01 AC 5B 04 52 4C 41 4D 00
+84 12 60 5B 50 02 BA 5B 04 52 52 55 4D 00 84 12
+60 5B 50 03 85 12 00 3C C8 5B 03 53 3E 3D 85 12
+00 38 DA 5B 02 53 3C 00 85 12 00 34 54 5B 03 30
+3E 3D 85 12 00 30 EE 5B 02 30 3C 00 85 12 00 30
+00 00 02 55 3C 00 85 12 00 2C 02 5C 03 55 3E 3D
+85 12 00 28 F8 5B 03 30 3C 3E 85 12 00 24 16 5C
+02 30 3D 00 85 12 00 20 96 48 02 49 46 00 1A 42
+C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 0C 5C
+04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
+30 4D D4 59 04 45 4C 53 45 00 1A 42 C4 1D BA 40
+00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F
+40 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D 58 5A
+05 41 47 41 49 4E 87 12 D4 5B 88 5C 2A 44 00 00
+05 57 48 49 4C 45 87 12 2E 5C 78 44 2A 44 E4 5B
+06 52 45 50 45 41 54 00 87 12 D4 5B 88 5C 46 5C
+2A 44 00 00 03 4A 4D 50 87 12 3C 4E D4 5B 88 5C
+2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
+00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
+30 4D 00 00 04 3F 4A 4D 50 00 87 12 F2 5C 3C 4E
+78 44 88 5C 2A 44 28 5D 3D 41 08 4E 3E 4F 2A 48
+0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00
+A4 3F EE 5A 03 42 57 31 84 12 26 5D 00 00 44 5D
+03 42 57 32 84 12 26 5D 00 00 50 5D 03 42 57 33
+84 12 26 5D 00 00 68 5D 3D 41 1A 42 C4 1D 28 4E
+08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00
+3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
+84 12 66 5D 00 00 8C 5D 03 46 57 32 84 12 66 5D
+00 00 98 5D 03 46 57 33 84 12 66 5D 00 00 A4 5D
+04 47 4F 54 4F 00 87 12 D4 5B 3C 4E 5A 4C 2A 44
+14 5D 05 3F 47 4F 54 4F 87 12 F2 5C 3C 4E 5A 4C
+2A 44 B4 54 09 7B 55 54 49 4C 49 54 59 7D 30 4D
+7C 52 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
+FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
+2E 8F 0E 11 87 12 34 44 3C 00 1E 49 AC 47 34 44
+08 00 1E 49 34 44 3E 00 1E 49 6A 49 8A 44 8A 44
+C4 45 EA 45 2C 5E 62 44 62 44 2A 44 F0 45 28 46
+F2 44 78 47 34 44 02 00 00 46 2E 5E 2A 44 E2 5D
+03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
+D3 3F 04 4B 01 3F 2E 4E 30 40 78 47 30 55 05 57
+4F 52 44 53 87 12 5E 49 34 44 03 00 80 49 34 44
+CA 1D F2 44 50 46 34 44 10 00 44 44 20 45 AE 51
+34 44 00 00 44 44 34 44 10 00 44 44 20 45 34 44
+00 00 F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45
+E0 45 B2 5E 62 44 62 44 28 46 44 44 50 46 20 45
+F2 44 34 44 02 00 00 46 94 5E 54 44 E0 45 F4 5E
+44 44 34 44 02 00 28 45 F2 44 9A 44 50 46 20 45
+FA 44 44 44 AC 4C 34 44 7F 00 36 45 A2 49 08 45
+34 44 0F 00 36 45 34 44 10 00 78 44 28 45 80 49
+DC 45 80 5E 62 44 2A 44 A8 51 03 4D 41 58 2E 9F
+07 38 2F 53 30 4D FA 5E 03 4D 49 4E 2E 9F F9 3B
+3E 4F 30 4D C0 50 03 55 2E 52 87 12 B0 44 9A 46
+34 44 00 00 F6 46 28 47 42 47 BC 44 8A 44 28 45
+34 44 00 00 FE 5E 80 49 A2 49 2A 44 8A 52 04 44
+55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D
+2E 5F B0 12 2A 44 78 44 8A 44 8A 44 78 47 34 44
+01 00 28 45 78 47 34 44 F0 FF 36 45 F0 45 5E 49
+28 46 34 44 07 00 1A 5F 6A 49 28 46 34 44 10 00
+20 45 28 46 F0 45 28 46 08 45 34 44 03 00 1A 5F
+12 46 86 5F 6A 49 6A 49 28 46 34 44 10 00 20 45
+28 46 F0 45 28 46 08 45 34 44 7E 00 0C 5F 90 46
+FE 5E 1E 49 12 46 A4 5F 34 44 10 00 00 46 6E 5F
+BC 44 7A 46 FA 44 2A 44 D4 5D 0A 7B 46 49 58 50
+4F 49 4E 54 7D 00 30 4D 8E 56 05 48 4F 4C 44 53
+39 4F 09 5E 18 42 AA 1D 19 83 1E 83 04 28 18 83
+E8 49 00 00 F9 3F 82 48 AA 1D 3E 4F 30 4D 1E 52
+02 46 2B 00 BF 5F 02 00 3E 6F 30 4D 00 60 02 46
+2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D 0E 60
+02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00
+19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53
+09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E
+01 20 09 96 02 28 09 86 0A 7E 07 67 04 64 15 83
+09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E
+12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3
+06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
+20 60 03 46 23 53 2F 83 8F 4E 00 00 2B 42 B2 90
+0A 00 DA 1D 01 20 1B 53 0C 43 A2 4F C0 04 92 42
+DA 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90
+0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E 88 1D
+1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 88 1D
+78 3F A2 60 02 46 2A 00 92 4F 04 00 D4 04 92 4F
+02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C
+00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D F4 60
+02 46 2E 00 87 12 9A 46 44 44 B0 44 6E 45 78 44
+A6 60 34 44 2C 00 58 47 28 47 BC 44 66 47 42 47
+A2 49 6A 49 2A 44 22 53 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 3E 5F 03 44 3E 46 2E 4F 8F 43 00 00
+30 4D 48 61 09 32 43 4F 4E 53 54 41 4E 54 87 12
+9E 4F 78 44 64 4C 64 4C B6 4F 7C 61 2F 83 BF 4E
+00 00 2E 4E 3D 41 30 4D
 @FFCC
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 8A 48 62 54 62 54 62 54 62 54 62 54
-62 54 62 54
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 A4 48 48 55 48 55 48 55 48 55 48 55
+48 55 48 55
 q
index e8a5c6e..74ffadf 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A 48 80 3E 00 24 05 00 18 00 CA 5E 9A 55
-4A 48 5C 48 00 00 00 00
+10 00 A4 48 80 3E 00 24 05 00 18 00 8A 61 8C 56
+64 48 76 48 00 00 00 00
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,396 +51,440 @@ AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 46 04 42 41 53 45 00 85 12 DA 1D C8 44
 05 53 54 41 54 45 85 12 B6 1D 74 46 02 42 4C 00
 85 12 20 00 B4 45 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 46 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 44 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 46 02 23 53 00 87 12
-A6 46 04 47 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 46 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 46 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 46 02 55 2E 00 87 12
-9A 46 34 44 00 00 FE 46 18 47 70 49 38 49 2A 44
-68 45 02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45
-FE 46 9A 44 3C 47 18 47 70 49 38 49 2A 44 50 45
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 47 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 45 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 47 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A 48 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-5C 48 30 4D 92 47 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 46 03 4B 45 59 30 40 EC 47 A6 47 06 41
-43 43 45 50 54 00 30 40 16 48 E6 47 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 48 3B 40 92 48 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC 48 92 B3 DC 05 05 24 18 42 CC 05 38 90 0A 00
-04 20 21 53 39 40 76 48 4D 15 B2 40 11 00 CE 05
-E2 C3 23 02 A2 B3 DC 05 FD 27 30 41 B2 40 13 00
-CE 05 E2 D3 23 02 A2 B3 DC 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 48 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-CC 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 CE 05 A2 B3 DC 05 FD 27 30 4D BE 48 2D 83
-92 B3 DC 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C 48 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 47 04 45 4D 49 54 00 30 40 DE 48
-E6 48 04 45 43 48 4F 00 B2 40 82 48 B0 48 30 4D
-80 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 48
-30 4D D6 48 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 47 02 43 52 00 30 40 1A 49
-36 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A 49 EF 3F 5C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A 47 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 45
-28 46 08 45 EC 48 12 46 80 49 2A 44 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D 30 4D
-A2 49 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 1D
-30 4D 46 49 82 53 22 00 87 12 34 44 8C 49 EE 4B
-BC 49 34 44 22 00 0C 4A AA 49 DC 49 3D 41 6E 4E
-1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63 C4 1D
-30 4D 02 49 82 2E 22 00 87 12 C8 49 34 44 70 49
-EE 4B 2A 44 00 00 04 57 4F 52 44 00 3C 40 BE 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 1D 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C 4B 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E 4B 2F 53
-0E 93 2C 17 82 4C DA 1D 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 48 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-C4 1D A2 53 C4 1D 8A 4E 00 00 3E 4F 30 4D EC 4B
-87 4C 49 54 45 52 41 4C 82 93 B6 1D 0F 24 1A 42
-C4 1D A2 52 C4 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 1D B2 4F C0 1D 3E 4F 82 43
-C2 1D 87 12 90 46 0C 4A 5A 4C 3D 40 66 4C 05 23
-3D 41 3E 4F 30 4D 68 4C 0A 4E 3E 4F 3D 40 7E 4C
-5A 27 3D 40 54 4C 1A E2 B6 1D B9 27 B3 23 80 4C
-3E 4F 3D 40 54 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE 4E CD 3F DC 4B 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 44 4C BC 4C B2 41 C2 1D
-B2 41 C0 1D B2 41 BE 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-B6 1D 82 43 08 18 B0 12 2A 44 8C 49 03 0D 6F 6B
-70 49 38 49 5A 46 44 44 64 46 06 48 38 49 44 4C
-DE 44 92 45 8C 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E 4D 34 44 30 FF 98 47 D2 45 8C 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E 4D 86 46
-F2 44 E0 45 EA 4C 8C 49 03 0D 20 20 DC 45 F0 4C
-FE 47 05 41 42 4F 52 54 3F 40 80 1C C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 48 B0 12 62 52 A2 B3
-DC 05 FD 27 B2 40 11 00 CE 05 E2 C3 23 02 92 C3
-DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 DC 05 F3 23 87 12 8C 49 04 1B 5B 37
-6D 00 70 49 70 49 8C 49 04 1B 5B 30 6D 00 70 49
-70 51 B2 51 B8 51 00 52 AA 49 48 4D 42 4D 86 41
-42 4F 52 54 22 00 87 12 C8 49 34 44 4E 4D EE 4B
-2A 44 64 4A 01 27 87 12 90 46 0C 4A 6A 4A E0 45
-D4 4D 2A 44 88 4C 96 46 81 5C 92 42 BE 1D C2 1D
-30 4D 00 00 81 5B 82 43 B6 1D 30 4D D8 4D 01 5D
-B2 43 B6 1D 30 4D E4 4D 83 5B 27 5D 87 12 C6 4D
-34 44 34 44 EE 4B EE 4B 2A 44 BE 4F 02 00 3E 4F
-30 4D 14 49 82 49 53 00 87 12 86 46 F2 44 E0 45
-2C 4E FC 4D 34 44 0A 4E EE 4B 2A 44 C6 4D 0A 4E
-2A 44 14 4E 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 1D FA D0 80 00 00 00 30 4D C4 49 87 52 45 43
-55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
-C4 1D 30 4D CE 4C 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 46 0C 4A 6A 4A 54 44 E0 45 D4 4D 92 45
-E0 45 90 4E 34 44 34 44 EE 4B EE 4B 34 44 EE 4B
-EE 4B 2A 44 82 9F B4 1D A0 24 87 12 8C 49 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 4D
-87 12 E8 49 90 46 0C 4A BA 4E 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
-C4 1D 3E 4F 3D 41 30 41 06 4A 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 4E BA 40 86 12 FC FF 71 3C
-30 4C 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 4E
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 4F
-06 43 52 45 41 54 45 00 B0 12 B0 4E BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E 4C 05 44 4F 45 53 3E
-1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A 4F 05 44 45 46 45 52 B0 12 B0 4E BA 40
-30 40 FC FF BA 40 50 4F FE FF 3B 3C F8 4D 01 3A
-B0 12 B0 4E BA 40 87 12 FC FF A2 83 C4 1D B2 43
-B6 1D 82 4F B4 1D 30 4D 6E 4F 81 3B 82 93 B6 1D
-24 27 87 12 34 44 2A 44 EE 4B 94 4E E6 4D 2A 44
-A2 4F 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 4C 06 4D 41 52 4B 45 52 00 B0 12 B0 4E BA 40
-84 12 FC FF BA 40 A0 4F FE FF 9A 42 C6 1D 00 00
-28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
-B0 1D A8 49 FE FF 89 48 00 00 30 4D 34 4E 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
-BE 40 E0 45 00 00 2E 53 30 4D 54 4F 84 45 4C 53
-45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC 45 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A 49 84 54 48 45
-4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 20 4F 85 42
-45 47 49 4E 30 40 98 47 2C 50 85 55 4E 54 49 4C
-39 40 E0 45 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE 4D 85 41 47 41 49 4E
-39 40 DC 45 EF 3F EA 4E 85 57 48 49 4C 45 87 12
-F2 4F 78 44 2A 44 4C 4E 86 52 45 50 45 41 54 00
-87 12 70 50 32 50 2A 44 0C 50 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 45
-FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
-B2 4F 84 4C 4F 4F 50 00 39 40 12 46 A2 52 C4 1D
-1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
-A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 47 85 2B 4C 4F 4F 50 39 40 00 46
-E5 3F C2 50 85 4C 45 41 56 45 1A 42 C4 1D BA 40
-22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00 C4 1D
-A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
-04 51 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 44 CA 1D FA 44 2A 44
-84 12 68 51 E0 55 B6 55 4E 5E C4 4D 9E 55 F6 50
-18 5E 50 5D 24 52 3E 52 26 5E 6E 5D 46 45 E4 5C
-EE 4D 64 5D 00 00 3A 40 0E 00 39 40 CA 1D 38 40
-CC 1D D9 3F 3A 40 0E 00 39 40 CC 1D 38 40 CA 1D
-CC 3F 82 43 CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D
-C2 51 3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 4E 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 51 9A 55 CA 5E 88 50 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 52 92 42 0C 18 06 52
-EF 3F F6 51 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 1D 04 52 92 42 C4 1D 06 52 30 4D 0A 52 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42
-C4 1D 0C 18 EC 3F B2 40 F0 53 52 54 B2 40 84 48
-78 48 B2 40 DE 48 EE 48 B2 40 1A 49 2E 49 B2 40
-EC 47 FA 47 82 43 5C 5C 82 43 68 5C 82 43 74 5C
-82 43 A4 5C 82 43 B0 5C 82 43 BC 5C B2 40 0A 00
-DA 1D 30 41 78 50 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 CC FF FA 23 B0 12 56 52
-B2 40 CA 5E 0C 18 B2 40 9A 55 0E 18 AB 3F 3E 50
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A 4F 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 52 86 5B 45 4C 53 45 5D 00 87 12 34 44 01 00
-90 46 0C 4A 36 4C 44 44 E0 45 78 53 8A 44 8A 44
-8C 49 04 5B 49 46 5D 00 C8 52 EA 45 36 53 CA 4A
-2C 45 DC 45 6C 53 8A 44 8A 44 8C 49 06 5B 45 4C
-53 45 5D 00 C8 52 EA 45 5A 53 CA 4A FC 52 44 44
-E0 45 56 53 2C 45 DC 45 6C 53 8C 49 06 5B 54 48
-45 4E 5D 00 C8 52 EA 45 6C 53 FC 52 54 44 EA 45
-74 53 2A 44 DC 45 10 53 CA 4A 8C 49 03 0D 6B 6F
-70 49 38 49 5A 46 44 44 64 46 06 48 8E 53 82 43
-C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 10 53
-30 4D 02 53 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 53 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 46 0C 4A 6A 4A 6C 44 86 45 2A 44 B4 53
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 46 0C 4A
-6A 4A 6C 44 2A 44 EE 4F 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C 49 05 0D 1B 5B 37 6D 70 49
-82 47 8C 49 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 49 34 44
-30 FF 98 47 28 45 4E 47 8C 49 0B 62 79 74 65 73
-20 66 72 65 65 20 5A 4D 96 52 04 57 41 52 4D 00
-30 40 F0 53 C0 52 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A 5C 01 92 D3 04 02 B2 40 FE FF
-02 02 A2 83 06 02 B2 D0 00 42 24 02 B2 D3 26 02
-B2 40 FF BF 22 02 F2 43 22 03 F2 D3 26 03 F2 40
-A5 00 41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40
-A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
-80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
-08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
-FE 23 19 83 FA 23 B2 D2 B0 01 F2 D0 10 00 2A 03
-F2 C0 40 00 A1 04 3A 40 62 54 39 40 CC FF 89 4A
-00 00 29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00
-0A 18 31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40
-BC 44 35 40 08 44 34 40 14 44 B2 40 0A 00 DA 1D
-B2 43 AC 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02
-04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05
-B2 40 11 00 C6 05 B2 40 00 4A C8 05 F2 D0 03 00
-0D 02 92 C3 C0 05 92 D3 DA 05 3D 40 74 55 18 42
-08 18 38 90 0A 00 9A 26 38 90 16 00 97 2E 28 93
-51 22 46 26 50 54 84 12 68 51 C0 5B 6C 5C 74 5B
-C0 5C 3A 5B F4 5B 3E 58 00 00 30 5B E0 5B 92 5B
-D0 5B 4E 59 00 00 00 00 D2 5C 94 51 E8 53 85 48
-49 32 4C 4F 87 12 98 47 06 50 EE 4B E6 4D 96 51
-76 55 2A 44 56 54 04 43 4F 44 45 00 B0 12 B0 4E
-A2 82 C4 1D 87 12 82 4F DC 45 AE 55 00 00 07 45
-4E 44 43 4F 44 45 87 12 A4 51 94 4E 2A 44 6A 50
-03 41 53 4D 92 42 C8 1D B8 1D B2 40 7A 55 C8 1D
-E5 3F CE 55 06 45 4E 44 41 53 4D 00 92 42 B8 1D
-C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D
-BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40
-A4 51 00 00 05 4C 4F 32 48 49 1A 42 C4 1D BA 40
-B0 12 00 00 BA 40 2A 44 02 00 A2 52 C4 1D ED 3F
-38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D
-30 4D B0 12 2A 44 0C 4A 6A 4A EA 45 76 56 26 4B
-E0 45 D4 4D 98 56 78 56 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53
-C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53
-C2 1D B0 12 2A 44 0C 4A 26 4B E0 45 CA 56 C0 56
-21 53 3E 90 10 00 BB 2D 30 41 CC 56 B2 41 C2 1D
-22 D3 30 41 87 12 90 46 40 56 DC 56 82 43 BC 1D
-92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 C2 1D B0 12 62 56 0E 93
-04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40
-10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D
-1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92
-04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40
-30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D
-A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D
-30 12 4C 57 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 BC 1D 92 53 C2 1D B0 12 AA 56 0E 20 B2 50
-10 00 BC 1D 3E 40 2B 00 B0 12 AA 56 32 24 92 92
-BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D
-D3 3F B0 12 AA 56 F9 23 B2 50 10 00 BC 1D 3E 40
-28 00 B0 12 62 56 30 12 9C 57 67 3F 87 12 90 46
-40 56 D4 57 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 BC 1D C2 3F B0 12 AA 56 DF 23 B2 50
-80 00 BC 1D 3E 40 28 00 B0 12 62 56 B0 12 9A 56
-D5 23 3D 40 D4 4D 30 4D 70 48 04 52 45 54 49 00
-87 12 34 44 00 13 EE 4B 2A 44 34 44 2C 00 D4 56
-CC 57 24 58 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F
-24 56 03 4D 4F 56 84 12 1A 58 00 40 32 58 05 4D
-4F 56 2E 42 84 12 1A 58 40 40 00 00 03 41 44 44
-84 12 1A 58 00 50 4C 58 05 41 44 44 2E 42 84 12
-1A 58 40 50 58 58 04 41 44 44 43 00 84 12 1A 58
-00 60 66 58 06 41 44 44 43 2E 42 00 84 12 1A 58
-40 60 0A 58 04 53 55 42 43 00 84 12 1A 58 00 70
-84 58 06 53 55 42 43 2E 42 00 84 12 1A 58 40 70
-92 58 03 53 55 42 84 12 1A 58 00 80 A2 58 05 53
-55 42 2E 42 84 12 1A 58 40 80 06 56 03 43 4D 50
-84 12 1A 58 00 90 BC 58 05 43 4D 50 2E 42 84 12
-1A 58 40 90 F4 55 04 44 41 44 44 00 84 12 1A 58
-00 A0 D6 58 06 44 41 44 44 2E 42 00 84 12 1A 58
-40 A0 C8 58 03 42 49 54 84 12 1A 58 00 B0 F4 58
-05 42 49 54 2E 42 84 12 1A 58 40 B0 00 59 03 42
-49 43 84 12 1A 58 00 C0 0E 59 05 42 49 43 2E 42
-84 12 1A 58 40 C0 1A 59 03 42 49 53 84 12 1A 58
-00 D0 28 59 05 42 49 53 2E 42 84 12 1A 58 40 D0
-00 00 03 58 4F 52 84 12 1A 58 00 E0 42 59 05 58
-4F 52 2E 42 84 12 1A 58 40 E0 74 58 03 41 4E 44
-84 12 1A 58 00 F0 5C 59 05 41 4E 44 2E 42 84 12
-1A 58 40 F0 90 46 D4 56 7A 59 1A 42 BC 1D B2 F0
-70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F
-AE 58 03 52 52 43 84 12 74 59 00 10 92 59 05 52
-52 43 2E 42 84 12 74 59 40 10 9E 59 04 53 57 50
-42 00 84 12 74 59 80 10 AC 59 03 52 52 41 84 12
-74 59 00 11 BA 59 05 52 52 41 2E 42 84 12 74 59
-40 11 C6 59 03 53 58 54 84 12 74 59 80 11 00 00
-04 50 55 53 48 00 84 12 74 59 00 12 E0 59 06 50
-55 53 48 2E 42 00 84 12 74 59 40 12 34 59 04 43
-41 4C 4C 00 84 12 74 59 80 12 34 44 2C 00 D4 56
-CC 57 14 5A 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-82 47 8C 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 54 4D EE 59 05 50 55 53 48 4D 84 12 0A 5A
-00 15 56 5A 04 50 4F 50 4D 00 84 12 0A 5A 00 17
-90 46 40 56 76 5A 82 43 BC 1D 92 42 C4 1D BA 1D
-A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 44
-0C 4A 26 4B E0 45 D4 4D CC 57 9C 5A 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F D4 59 04 52
-52 43 4D 00 84 12 70 5A 50 00 AE 5A 04 52 52 41
-4D 00 84 12 70 5A 50 01 BC 5A 04 52 4C 41 4D 00
-84 12 70 5A 50 02 CA 5A 04 52 52 55 4D 00 84 12
-70 5A 50 03 85 12 00 3C D8 5A 03 53 3E 3D 85 12
-00 38 EA 5A 02 53 3C 00 85 12 00 34 64 5A 03 30
-3E 3D 85 12 00 30 FE 5A 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 12 5B 03 55 3E 3D
-85 12 00 28 08 5B 03 30 3C 3E 85 12 00 24 26 5B
-02 30 3D 00 85 12 00 20 7C 48 02 49 46 00 1A 42
-C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 1C 5B
-04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D E4 58 04 45 4C 53 45 00 1A 42 C4 1D BA 40
-00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F
-50 5B 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D 68 59
-05 41 47 41 49 4E 87 12 E4 5A 98 5B 2A 44 00 00
-05 57 48 49 4C 45 87 12 3E 5B 78 44 2A 44 F4 5A
-06 52 45 50 45 41 54 00 87 12 E4 5A 98 5B 56 5B
-2A 44 00 00 03 4A 4D 50 87 12 C6 4D E4 5A 98 5B
-2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 02 5C C6 4D
-78 44 98 5B 2A 44 38 5C 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00
-A4 3F FE 59 03 42 57 31 84 12 36 5C 00 00 54 5C
-03 42 57 32 84 12 36 5C 00 00 60 5C 03 42 57 33
-84 12 36 5C 00 00 78 5C 3D 41 1A 42 C4 1D 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 76 5C 00 00 9C 5C 03 46 57 32 84 12 76 5C
-00 00 A8 5C 03 46 57 33 84 12 76 5C 00 00 B4 5C
-04 47 4F 54 4F 00 87 12 E4 5A C6 4D E4 4B 2A 44
-24 5C 05 3F 47 4F 54 4F 87 12 02 5C C6 4D E4 4B
-2A 44 D0 53 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-F4 49 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 44 3C 00 EC 48 82 47 34 44
-08 00 EC 48 34 44 3E 00 EC 48 38 49 8A 44 8A 44
-C4 45 EA 45 3C 5D 62 44 62 44 2A 44 F0 45 28 46
-F2 44 4E 47 34 44 02 00 00 46 3E 5D 2A 44 F2 5C
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F D2 4A 01 3F 2E 4E 30 40 4E 47 4A 54 05 57
-4F 52 44 53 87 12 2C 49 34 44 03 00 4E 49 34 44
-CA 1D F2 44 50 46 34 44 10 00 44 44 20 45 38 51
-34 44 00 00 44 44 34 44 10 00 44 44 20 45 34 44
-00 00 F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45
-E0 45 C2 5D 62 44 62 44 28 46 44 44 50 46 20 45
-F2 44 34 44 02 00 00 46 A4 5D 54 44 E0 45 04 5E
-44 44 34 44 02 00 28 45 F2 44 9A 44 50 46 20 45
-FA 44 44 44 36 4C 34 44 7F 00 36 45 70 49 08 45
-34 44 0F 00 36 45 34 44 10 00 78 44 28 45 4E 49
-DC 45 90 5D 62 44 2A 44 32 51 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 0A 5E 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 4A 50 03 55 2E 52 87 12 B0 44 9A 46
-34 44 00 00 A6 46 FE 46 18 47 BC 44 8A 44 28 45
-34 44 00 00 0E 5E 4E 49 70 49 2A 44 9A 50 04 44
-55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D
-2E 5F BF F0 F0 FF 00 00 B0 12 2A 44 78 44 F0 45
-2C 49 28 46 34 44 07 00 2A 5E 38 49 28 46 34 44
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 46 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 46 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 46 02 23 53 00 87 12 F6 46 2E 47 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 47 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 46 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 46
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 46 02 55 2E 00 87 12 9A 46 34 44 00 00
+28 47 42 47 A2 49 6A 49 2A 44 68 45 02 44 2E 00
+87 12 9A 46 78 44 8A 44 6E 45 28 47 9A 44 66 47
+42 47 A2 49 6A 49 2A 44 50 45 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 47 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 45
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 47
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 48 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 76 48 30 4D BC 47
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 46 03 4B
+45 59 30 40 16 48 D0 47 06 41 43 43 45 50 54 00
+3C 40 FA 48 3B 40 AC 48 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE 48 92 B3 DC 05
+05 24 18 42 CC 05 38 90 0A 00 04 20 21 53 39 40
+90 48 4D 15 B2 40 11 00 CE 05 E2 C3 23 02 A2 B3
+DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D3 23 02
+A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E 48 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 48 0F 3C C6 48 38 40 20 00 3D 52 0A 3C D0 48
+78 42 3D 40 EE 48 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D F0 48
+2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 48 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C 47 04 45 4D 49 54 00 30 40
+10 49 18 49 04 45 43 48 4F 00 B2 40 82 48 E2 48
+30 4D AA 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 48 30 4D 08 49 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 47 02 43 52 00 30 40
+4C 49 60 47 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 49 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C 49 EF 3F 8E 49 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 47 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 45 28 46 08 45 1E 49 12 46 B2 49 2A 44 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D
+30 4D D4 49 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 1D 30 4D 78 49 82 53 22 00 87 12 34 44 BE 49
+64 4C EE 49 34 44 22 00 3E 4A DC 49 0E 4A 3D 41
+6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63
+C4 1D 30 4D 34 49 82 2E 22 00 87 12 FA 49 34 44
+A2 49 64 4C 2A 44 00 00 04 57 4F 52 44 00 3C 40
+BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 4B
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC 46
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 49 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 45 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E 00 00
+3E 4F 30 4D 62 4C 87 4C 49 54 45 52 41 4C 82 93
+B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40 34 44
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 49 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 82 43 C2 1D 87 12 90 46 3E 4A D0 4C
+3D 40 DC 4C E3 22 3D 41 3E 4F 30 4D DE 4C 0A 4E
+3E 4F 3D 40 F4 4C 3B 27 3D 40 CA 4C 1A E2 B6 1D
+B9 27 B3 23 F6 4C 3E 4F 3D 40 CA 4C C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 4F
+CD 3F 52 4C 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44 BA 4C
+32 4D B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D 3D 41
+30 4D 4C 46 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12 2A 44
+BE 49 03 0D 6F 6B A2 49 6A 49 5A 46 44 44 64 46
+30 48 6A 49 BA 4C DE 44 92 45 BE 49 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 4D 34 44 30 FF
+C2 47 D2 45 BE 49 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 4D 86 46 F2 44 E0 45 60 4D BE 49 03 0D
+20 20 DC 45 66 4D 28 48 05 41 42 4F 52 54 3F 40
+80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 48
+B0 12 46 53 A2 B3 DC 05 FD 27 B2 40 11 00 CE 05
+E2 C3 23 02 92 C3 DC 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23 87 12
+BE 49 04 1B 5B 37 6D 00 A2 49 A2 49 BE 49 04 1B
+5B 30 6D 00 A2 49 24 52 82 52 96 52 E4 52 DC 49
+BE 4D B8 4D 86 41 42 4F 52 54 22 00 87 12 FA 49
+34 44 C4 4D 64 4C 2A 44 96 4A 01 27 87 12 90 46
+3E 4A 9C 4A E0 45 4A 4E 2A 44 FE 4C 96 46 81 5C
+92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43 B6 1D
+30 4D 4E 4E 01 5D B2 43 B6 1D 30 4D 5A 4E 83 5B
+27 5D 87 12 3C 4E 34 44 34 44 64 4C 64 4C 2A 44
+BE 4F 02 00 3E 4F 30 4D 46 49 82 49 53 00 87 12
+86 46 F2 44 E0 45 A2 4E 72 4E 34 44 80 4E 64 4C
+2A 44 3C 4E 80 4E 2A 44 8A 4E 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00 30 4D
+F6 49 87 52 45 43 55 52 53 45 19 42 C4 1D 99 42
+B2 1D 00 00 A2 53 C4 1D 30 4D 44 4D 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 46 3E 4A 9C 4A 54 44
+E0 45 4A 4E 92 45 E0 45 06 4F 34 44 34 44 64 4C
+64 4C 34 44 64 4C 64 4C 2A 44 82 9F B4 1D A0 24
+87 12 BE 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA 4D 87 12 1A 4A 90 46 3E 4A 30 4F
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D 82 4A
+B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41 38 4A
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 4F BA 40
+86 12 FC FF 71 3C A6 4C 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 4F BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 4F 06 43 52 45 41 54 45 00 B0 12
+26 4F BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 4D
+05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 4F 05 44 45 46 45 52
+B0 12 26 4F BA 40 30 40 FC FF BA 40 C6 4F FE FF
+3B 3C 6E 4E 01 3A B0 12 26 4F BA 40 87 12 FC FF
+A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D E4 4F
+81 3B 82 93 B6 1D 24 27 87 12 34 44 2A 44 64 4C
+0A 4F 5C 4E 2A 44 18 50 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 4C 06 4D 41 52 4B 45 52 00
+B0 12 26 4F BA 40 84 12 FC FF BA 40 16 50 FE FF
+9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52 C4 1D
+18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48 00 00
+30 4D AA 4E 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 1D A2 52 C4 1D BE 40 E0 45 00 00 2E 53 30 4D
+CA 4F 84 45 4C 53 45 00 A2 52 C4 1D 1A 42 C4 1D
+BA 40 DC 45 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C 49 84 54 48 45 4E 00 9E 42 C4 1D 00 00 3E 4F
+30 4D 96 4F 85 42 45 47 49 4E 30 40 C2 47 A2 50
+85 55 4E 54 49 4C 39 40 E0 45 A2 52 C4 1D 1A 42
+C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 4E
+85 41 47 41 49 4E 39 40 DC 45 EF 3F 60 4F 85 57
+48 49 4C 45 87 12 68 50 78 44 2A 44 C2 4E 86 52
+45 50 45 41 54 00 87 12 E6 50 A8 50 2A 44 82 50
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D 1E 42
+C4 1D BE 40 F0 45 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D 28 50 84 4C 4F 4F 50 00 39 40
+12 46 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E 48 85 2B 4C 4F
+4F 50 39 40 00 46 E5 3F 38 51 85 4C 45 41 56 45
+1A 42 C4 1D BA 40 22 46 00 00 BA 40 DC 45 02 00
+B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 7A 51 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE 50
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E 4F
+34 44 10 00 34 44 00 00 F0 45 34 44 00 00 64 4C
+12 46 FA 51 C2 47 34 44 C6 1D 44 44 F2 44 64 4C
+FA 44 B6 4F 34 44 CA 1D FA 44 2A 44 3A 4E 05 46
+4F 52 54 48 84 12 14 52 D2 56 A8 56 58 61 22 61
+DC 5F 6C 51 0A 5F 42 5E 08 53 66 61 18 5F 60 5E
+46 45 CC 5F 64 4E 56 5E 00 00 E0 50 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D B6 3F
+DC 4E 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 1D 38 40 CA 1D A3 3F 26 4A 04 4F 4E 4C
+59 00 82 43 CC 1D 30 4D 10 51 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D 24 52
+82 52 96 52 A6 52 3A 4E 82 4A C6 1D 2E 4E 82 4E
+C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 52 09 50 57 52 5F 53
+54 41 54 45 84 12 9E 52 8C 56 8A 61 FE 50 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 52 92 42
+0C 18 EA 52 EF 3F DA 52 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D E8 52 92 42 C4 1D EA 52 30 4D
+EE 52 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
+0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 D4 54 38 55
+B2 40 9E 48 92 48 B2 40 10 49 20 49 B2 40 4C 49
+60 49 B2 40 16 48 24 48 82 43 4E 5D 82 43 5A 5D
+82 43 66 5D 82 43 96 5D 82 43 A2 5D 82 43 AE 5D
+B2 40 0A 00 DA 1D 30 41 E0 51 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 CC FF FA 23
+B0 12 3A 53 B2 40 8A 61 0C 18 B2 40 8C 56 0E 18
+AB 3F B4 50 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 50 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 53 86 5B 45 4C 53 45 5D 00 87 12
+34 44 01 00 90 46 3E 4A AC 4C 44 44 E0 45 5C 54
+8A 44 8A 44 BE 49 04 5B 49 46 5D 00 AC 53 EA 45
+1A 54 FC 4A 2C 45 DC 45 50 54 8A 44 8A 44 BE 49
+06 5B 45 4C 53 45 5D 00 AC 53 EA 45 3E 54 FC 4A
+E0 53 44 44 E0 45 3A 54 2C 45 DC 45 50 54 BE 49
+06 5B 54 48 45 4E 5D 00 AC 53 EA 45 50 54 E0 53
+54 44 EA 45 58 54 2A 44 DC 45 F4 53 FC 4A BE 49
+03 0D 6B 6F A2 49 6A 49 5A 46 44 44 64 46 30 48
+72 54 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 F4 53 30 4D E6 53 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 54 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 46 3E 4A 9C 4A 6C 44 86 45
+2A 44 98 54 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 46 3E 4A 9C 4A 6C 44 2A 44 64 50 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE 49 06 0D 1B 5B
+37 6D 23 00 A2 49 AC 47 BE 49 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 49 34 44 30 FF C2 47 28 45 78 47 BE 49
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 4D 7A 53
+04 57 41 52 4D 00 30 40 D4 54 A4 53 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 D3
+04 02 B2 40 FE FF 02 02 A2 83 06 02 B2 D0 00 42
+24 02 B2 D3 26 02 B2 40 FF BF 22 02 F2 43 22 03
+F2 D3 26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01
+D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01
+82 43 66 01 39 40 80 00 B2 40 33 00 64 01 D2 43
+61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07
+38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 D2 B0 01
+F2 D0 10 00 2A 03 F2 C0 40 00 A1 04 3A 40 48 55
+39 40 CC FF 89 4A 00 00 29 53 FC 23 92 42 02 18
+F0 FF B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C
+37 40 00 44 36 40 BC 44 35 40 08 44 34 40 14 44
+B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42
+08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
+B2 40 81 00 C0 05 B2 40 11 00 C6 05 B2 40 00 4A
+C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05
+3D 40 5A 56 18 42 08 18 38 90 0A 00 99 26 38 90
+16 00 96 2E 28 93 50 22 45 26 36 55 4C 52 09 41
+53 53 45 4D 42 4C 45 52 84 12 14 52 B2 5C 5E 5D
+66 5C B2 5D 2C 5C E6 5C 30 59 00 00 22 5C D2 5C
+84 5C C2 5C 40 5A 00 00 00 00 C4 5D 48 52 CC 54
+85 48 49 32 4C 4F 87 12 C2 47 7C 50 64 4C 5C 4E
+52 52 68 56 2A 44 3C 55 04 43 4F 44 45 00 B0 12
+26 4F A2 82 C4 1D 87 12 F8 4F DC 45 A0 56 00 00
+07 45 4E 44 43 4F 44 45 87 12 6C 52 0A 4F 2A 44
+5E 56 03 41 53 4D 92 42 C8 1D B8 1D B2 40 6C 56
+C8 1D E5 3F C0 56 06 45 4E 44 41 53 4D 00 92 42
+B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D
+30 40 6C 52 A4 46 05 4C 4F 32 48 49 1A 42 C4 1D
+BA 40 B0 12 00 00 BA 40 2A 44 02 00 A2 52 C4 1D
+ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D
+09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
+C2 1D 30 4D B0 12 2A 44 3E 4A 9C 4A EA 45 68 57
+5E 4B E0 45 4A 4E 8A 57 6A 57 29 4E 39 90 86 12
+02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
+30 41 39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D
+A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D
+92 53 C2 1D B0 12 2A 44 3E 4A 5E 4B E0 45 BC 57
+B2 57 21 53 3E 90 10 00 BB 2D 30 41 BE 57 B2 41
+C2 1D 22 D3 30 41 87 12 90 46 32 57 CE 57 82 43
+BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F
+FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12 54 57
+0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20
+B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03
+BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C
+3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20
+B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42
+C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D
+FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53
+C2 1D 30 12 3E 58 76 3F FA 90 40 00 00 00 1A 20
+B2 40 20 00 BC 1D 92 53 C2 1D B0 12 9C 57 0E 20
+B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 9C 57 32 24
+92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E
+BC 1D D3 3F B0 12 9C 57 F9 23 B2 50 10 00 BC 1D
+3E 40 28 00 B0 12 54 57 30 12 8E 58 67 3F 87 12
+90 46 32 57 C6 58 FE 90 26 00 00 00 3E 40 20 00
+04 20 B2 50 82 00 BC 1D C2 3F B0 12 9C 57 DF 23
+B2 50 80 00 BC 1D 3E 40 28 00 B0 12 54 57 B0 12
+8C 57 D5 23 3D 40 4A 4E 30 4D 8A 48 04 52 45 54
+49 00 87 12 34 44 00 13 64 4C 2A 44 34 44 2C 00
+C6 57 BE 58 16 59 2E 4E 1E D2 BC 1D 19 42 BA 1D
+92 3F 16 57 03 4D 4F 56 84 12 0C 59 00 40 24 59
+05 4D 4F 56 2E 42 84 12 0C 59 40 40 00 00 03 41
+44 44 84 12 0C 59 00 50 3E 59 05 41 44 44 2E 42
+84 12 0C 59 40 50 4A 59 04 41 44 44 43 00 84 12
+0C 59 00 60 58 59 06 41 44 44 43 2E 42 00 84 12
+0C 59 40 60 FC 58 04 53 55 42 43 00 84 12 0C 59
+00 70 76 59 06 53 55 42 43 2E 42 00 84 12 0C 59
+40 70 84 59 03 53 55 42 84 12 0C 59 00 80 94 59
+05 53 55 42 2E 42 84 12 0C 59 40 80 F8 56 03 43
+4D 50 84 12 0C 59 00 90 AE 59 05 43 4D 50 2E 42
+84 12 0C 59 40 90 E6 56 04 44 41 44 44 00 84 12
+0C 59 00 A0 C8 59 06 44 41 44 44 2E 42 00 84 12
+0C 59 40 A0 BA 59 03 42 49 54 84 12 0C 59 00 B0
+E6 59 05 42 49 54 2E 42 84 12 0C 59 40 B0 F2 59
+03 42 49 43 84 12 0C 59 00 C0 00 5A 05 42 49 43
+2E 42 84 12 0C 59 40 C0 0C 5A 03 42 49 53 84 12
+0C 59 00 D0 1A 5A 05 42 49 53 2E 42 84 12 0C 59
+40 D0 00 00 03 58 4F 52 84 12 0C 59 00 E0 34 5A
+05 58 4F 52 2E 42 84 12 0C 59 40 E0 66 59 03 41
+4E 44 84 12 0C 59 00 F0 4E 5A 05 41 4E 44 2E 42
+84 12 0C 59 40 F0 90 46 C6 57 6C 5A 1A 42 BC 1D
+B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D
+4A 3F A0 59 03 52 52 43 84 12 66 5A 00 10 84 5A
+05 52 52 43 2E 42 84 12 66 5A 40 10 90 5A 04 53
+57 50 42 00 84 12 66 5A 80 10 9E 5A 03 52 52 41
+84 12 66 5A 00 11 AC 5A 05 52 52 41 2E 42 84 12
+66 5A 40 11 B8 5A 03 53 58 54 84 12 66 5A 80 11
+00 00 04 50 55 53 48 00 84 12 66 5A 00 12 D2 5A
+06 50 55 53 48 2E 42 00 84 12 66 5A 40 12 26 5A
+04 43 41 4C 4C 00 84 12 66 5A 80 12 34 44 2C 00
+C6 57 BE 58 06 5B 59 42 BC 1D 5A 42 BD 1D 82 4A
+BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
+0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
+87 12 AC 47 BE 49 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 CA 4D E0 5A 05 50 55 53 48 4D 84 12
+FC 5A 00 15 48 5B 04 50 4F 50 4D 00 84 12 FC 5A
+00 17 90 46 32 57 68 5B 82 43 BC 1D 92 42 C4 1D
+BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12
+2A 44 3E 4A 5E 4B E0 45 4A 4E BE 58 8E 5B 0A 4E
+3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F C6 5A
+04 52 52 43 4D 00 84 12 62 5B 50 00 A0 5B 04 52
+52 41 4D 00 84 12 62 5B 50 01 AE 5B 04 52 4C 41
+4D 00 84 12 62 5B 50 02 BC 5B 04 52 52 55 4D 00
+84 12 62 5B 50 03 85 12 00 3C CA 5B 03 53 3E 3D
+85 12 00 38 DC 5B 02 53 3C 00 85 12 00 34 56 5B
+03 30 3E 3D 85 12 00 30 F0 5B 02 30 3C 00 85 12
+00 30 00 00 02 55 3C 00 85 12 00 2C 04 5C 03 55
+3E 3D 85 12 00 28 FA 5B 03 30 3C 3E 85 12 00 24
+18 5C 02 30 3D 00 85 12 00 20 96 48 02 49 46 00
+1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D
+0E 5C 04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
+00 00 30 4D D6 59 04 45 4C 53 45 00 1A 42 C4 1D
+BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00
+E3 3F 42 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D
+5A 5A 05 41 47 41 49 4E 87 12 D6 5B 8A 5C 2A 44
+00 00 05 57 48 49 4C 45 87 12 30 5C 78 44 2A 44
+E6 5B 06 52 45 50 45 41 54 00 87 12 D6 5B 8A 5C
+48 5C 2A 44 00 00 03 4A 4D 50 87 12 3C 4E D6 5B
+8A 5C 2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D
+3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
+00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 F4 5C
+3C 4E 78 44 8A 5C 2A 44 2A 5D 3D 41 08 4E 3E 4F
+2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43
+00 00 A4 3F F0 5A 03 42 57 31 84 12 28 5D 00 00
+46 5D 03 42 57 32 84 12 28 5D 00 00 52 5D 03 42
+57 33 84 12 28 5D 00 00 6A 5D 3D 41 1A 42 C4 1D
+28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A
+00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
+57 31 84 12 68 5D 00 00 8E 5D 03 46 57 32 84 12
+68 5D 00 00 9A 5D 03 46 57 33 84 12 68 5D 00 00
+A6 5D 04 47 4F 54 4F 00 87 12 D6 5B 3C 4E 5A 4C
+2A 44 16 5D 05 3F 47 4F 54 4F 87 12 F4 5C 3C 4E
+5A 4C 2A 44 B4 54 09 7B 55 54 49 4C 49 54 59 7D
+30 4D 7C 52 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 44 3C 00 1E 49 AC 47
+34 44 08 00 1E 49 34 44 3E 00 1E 49 6A 49 8A 44
+8A 44 C4 45 EA 45 2E 5E 62 44 62 44 2A 44 F0 45
+28 46 F2 44 78 47 34 44 02 00 00 46 30 5E 2A 44
+E4 5D 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 1C D3 3F 04 4B 01 3F 2E 4E 30 40 78 47 30 55
+05 57 4F 52 44 53 87 12 5E 49 34 44 03 00 80 49
+34 44 CA 1D F2 44 50 46 34 44 10 00 44 44 20 45
+AE 51 34 44 00 00 44 44 34 44 10 00 44 44 20 45
+34 44 00 00 F0 45 44 44 28 46 50 46 20 45 F2 44
+D2 45 E0 45 B4 5E 62 44 62 44 28 46 44 44 50 46
+20 45 F2 44 34 44 02 00 00 46 96 5E 54 44 E0 45
+F6 5E 44 44 34 44 02 00 28 45 F2 44 9A 44 50 46
+20 45 FA 44 44 44 AC 4C 34 44 7F 00 36 45 A2 49
+08 45 34 44 0F 00 36 45 34 44 10 00 78 44 28 45
+80 49 DC 45 82 5E 62 44 2A 44 A8 51 03 4D 41 58
+2E 9F 07 38 2F 53 30 4D FC 5E 03 4D 49 4E 2E 9F
+F9 3B 3E 4F 30 4D C0 50 03 55 2E 52 87 12 B0 44
+9A 46 34 44 00 00 F6 46 28 47 42 47 BC 44 8A 44
+28 45 34 44 00 00 00 5F 80 49 A2 49 2A 44 8A 52
+04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00
+DA 1D 2E 5F B0 12 2A 44 78 44 8A 44 8A 44 78 47
+34 44 01 00 28 45 78 47 34 44 F0 FF 36 45 F0 45
+5E 49 28 46 34 44 07 00 1C 5F 6A 49 28 46 34 44
 10 00 20 45 28 46 F0 45 28 46 08 45 34 44 03 00
-2A 5E 12 46 88 5E 38 49 38 49 28 46 34 44 10 00
-20 45 28 46 F0 45 28 46 08 45 34 44 7E 00 1C 5E
-90 46 0E 5E EC 48 12 46 A6 5E 34 44 10 00 00 46
-70 5E BC 44 7A 46 FA 44 2A 44
+1C 5F 12 46 88 5F 6A 49 6A 49 28 46 34 44 10 00
+20 45 28 46 F0 45 28 46 08 45 34 44 7E 00 0E 5F
+90 46 00 5F 1E 49 12 46 A6 5F 34 44 10 00 00 46
+70 5F BC 44 7A 46 FA 44 2A 44 D6 5D 0A 7B 46 49
+58 50 4F 49 4E 54 7D 00 30 4D 90 56 05 48 4F 4C
+44 53 39 4F 09 5E 18 42 AA 1D 19 83 1E 83 04 28
+18 83 E8 49 00 00 F9 3F 82 48 AA 1D 3E 4F 30 4D
+1E 52 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D 02 60
+02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D
+10 60 02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F
+04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3
+18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00
+0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67 04 64
+15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86
+0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17
+3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+30 4D 22 60 03 46 23 53 2F 83 8F 4E 00 00 2B 42
+B2 90 0A 00 DA 1D 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 DA 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+88 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+88 1D 78 3F A4 60 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+F6 60 02 46 2E 00 87 12 9A 46 44 44 B0 44 6E 45
+78 44 A8 60 34 44 2C 00 58 47 28 47 BC 44 66 47
+42 47 A2 49 6A 49 2A 44 22 53 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 40 5F 03 44 3E 46 2E 4F 8F 43
+00 00 30 4D 4A 61 09 32 43 4F 4E 53 54 41 4E 54
+87 12 9E 4F 78 44 64 4C 64 4C B6 4F 7E 61 2F 83
+BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFCC
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 8A 48 62 54 62 54 62 54 62 54 62 54
-62 54 62 54
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 A4 48 48 55 48 55 48 55 48 55 48 55
+48 55 48 55
 q
index 3c0ca7c..678fba9 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 F2 44 80 3E 80 04 05 00 18 00 24 67 B0 53
-B2 44 C4 44 90 5D CE 5D
+10 00 16 45 80 3E 80 04 05 00 18 00 9E 69 D6 54
+D6 44 E8 44 CE 5C 0C 5D
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -56,595 +56,634 @@ AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 42 04 42 41 53 45 00 85 12 DA 1D C8 40
 05 53 54 41 54 45 85 12 B6 1D 74 42 02 42 4C 00
 85 12 20 00 B4 41 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 42 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 40 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 42 02 23 53 00 87 12
-A6 42 04 43 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 42 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 42 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 42 02 55 2E 00 87 12
-9A 42 34 40 00 00 FE 42 18 43 D8 45 A0 45 2A 40
-68 41 02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41
-FE 42 9A 40 3C 43 18 43 D8 45 A0 45 2A 40 50 41
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 43 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 41 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 43 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 B2 44 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-C4 44 30 4D 92 43 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 42 03 4B 45 59 30 40 EC 43 0D 12 3D 40
-26 44 1B 42 34 20 9B 42 1E 20 16 00 3A 40 00 21
-8F 4A 02 00 8F 4E 00 00 0E 43 82 93 20 20 13 24
-19 42 1E 20 02 3C 28 44 2D 83 19 92 20 20 15 2C
-58 49 00 1E 19 53 78 90 20 00 08 2C 78 90 0A 00
-F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F 64 24
-CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12 04 5F
-3A 41 DB 3F A6 43 06 41 43 43 45 50 54 00 30 40
-7E 44 E6 43 08 28 41 43 43 45 50 54 29 00 3C 40
-30 45 3B 40 FA 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40
-0D 00 3C 40 20 00 3D 40 24 45 92 B3 DC 05 05 24
-18 42 CC 05 38 90 0A 00 04 20 21 53 39 40 DE 44
-4D 15 B2 40 11 00 CE 05 E2 C2 23 02 A2 B3 DC 05
-FD 27 30 41 B2 40 13 00 CE 05 E2 D2 23 02 A2 B3
-DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50 30 40
-EC 44 00 00 07 28 53 4C 45 45 50 29 12 D2 0A 18
-F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27 48 9C
-06 2C 78 92 11 20 2E 9F 0F 24 1E 83 05 3C 0E 9A
-03 24 CE 48 00 00 1E 53 82 48 CE 05 A2 B3 DC 05
-FD 27 30 4D 26 45 2D 83 92 B3 DC 05 FD 27 E3 23
-B2 40 18 00 0A 18 3E 8F 3D 41 30 4D 74 44 06 28
-45 4D 49 54 29 00 08 4E 3E 4F E6 3F 62 43 04 45
-4D 49 54 00 30 40 46 45 4E 45 04 45 43 48 4F 00
-B2 40 82 48 18 45 30 4D 80 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 18 45 30 4D 3E 45 04 28 43 52
-29 00 2F 83 8F 4E 00 00 3E 40 0D 00 E3 3F B6 43
-02 43 52 00 30 40 82 45 36 43 05 53 50 41 43 45
-2F 83 8F 4E 00 00 3E 40 20 00 D4 3F 9A 45 06 53
-50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40 C2 45
-EF 3F C4 45 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D
-4A 43 04 54 59 50 45 00 0E 93 AB 24 2A 4F 8F 5E
-00 00 0E 4A 87 12 F0 41 28 42 08 41 54 45 12 42
-E8 45 2A 40 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
-0D 5E 1D B3 0D 63 30 4D 90 45 07 43 41 50 53 5F
-4F 4E B2 43 AC 1D 30 4D 0A 46 08 43 41 50 53 5F
-4F 46 46 00 82 43 AC 1D 30 4D AE 45 82 53 22 00
-87 12 34 40 F4 45 56 48 24 46 34 40 22 00 74 46
-12 46 44 46 3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F
-92 B3 C4 1D A2 63 C4 1D 30 4D 6A 45 82 2E 22 00
-87 12 30 46 34 40 D8 45 56 48 2A 40 00 00 04 57
-4F 52 44 00 3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C
-28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00
-C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53
-4B 9C F6 2F 82 93 AC 1D F3 27 7C 90 7B 00 F0 2F
-7C 80 20 00 ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42
-C4 1D 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
-44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40 CA 1D
-3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58
-2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4
-48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83
-FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30
-2E 83 8F 4C 00 00 35 40 08 40 34 40 14 40 30 4D
-2F 53 2F 53 3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42
-45 52 3C 4F 38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C
-7A 80 30 00 7A 90 0A 00 02 28 7A 80 07 00 0A 9B
-13 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
-E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E7 23
-8F 49 04 00 8F 48 02 00 8F 4C 00 00 30 4D 03 12
-0D 12 1B 42 DA 1D 0B 12 32 C0 00 02 6D 4E 0D 5E
-0C 4E 7A 40 2E 00 0D 9C 0A 28 7A 9C FC 23 32 D0
-00 02 FC 4C FE FF 0D 9C FC 2F DE 83 00 00 09 43
-08 43 3D 40 04 48 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 90 2D 00 10 2C 3B 40 10 00 7A 80 24 00
-06 24 2B 43 5A 83 03 24 3B 52 6A 53 B0 23 1C 53
-1E 83 6A 4C 7A 90 2D 00 AA 23 1C 53 1E 83 B1 43
-04 00 A5 3F 06 48 2F 53 0E 93 2C 17 82 4C DA 1D
-03 24 2F 52 0E F3 30 4D 9F 4F 02 00 04 00 BF 4F
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 42 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 42 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 42 02 23 53 00 87 12 F6 42 2E 43 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 43 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 42 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 42
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 42 02 55 2E 00 87 12 9A 42 34 40 00 00
+28 43 42 43 14 46 DC 45 2A 40 68 41 02 44 2E 00
+87 12 9A 42 78 40 8A 40 6E 41 28 43 9A 40 66 43
+42 43 14 46 DC 45 2A 40 50 41 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 43 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 41
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 43
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 D6 44 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 E8 44 30 4D BC 43
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 42 03 4B
+45 59 30 40 16 44 0D 12 3D 40 4A 44 1B 42 34 20
+9B 42 1E 20 16 00 3A 40 12 21 8F 4A 02 00 8F 4E
+00 00 0E 43 19 42 1E 20 02 3C 4C 44 2D 83 19 92
+20 20 15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C
+78 90 0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D
+2E 9F 70 24 CA 48 00 00 1A 53 1E 53 6B 3C 0A 12
+B0 12 32 5E 3A 41 DE 3F D0 43 06 41 43 43 45 50
+54 00 30 40 A2 44 10 44 08 28 41 43 43 45 50 54
+29 00 3C 40 6C 45 3B 40 1E 45 2D 15 0A 4E 2E 4F
+0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 60 45 92 B3
+DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
+39 40 02 45 4D 15 B2 40 11 00 CE 05 E2 C2 23 02
+A2 B3 DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D2
+23 02 A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45
+45 50 30 40 10 45 00 00 07 28 53 4C 45 45 50 29
+12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B
+E3 27 48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83
+3D 40 36 45 0F 3C 38 45 38 40 20 00 3D 52 0A 3C
+42 45 78 42 3D 40 60 45 05 3C 0E 9A 03 24 CE 48
+00 00 1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D
+62 45 2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00
+0A 18 3E 8F 3D 41 30 4D 98 44 06 28 45 4D 49 54
+29 00 08 4E 3E 4F E6 3F 8C 43 04 45 4D 49 54 00
+30 40 82 45 8A 45 04 45 43 48 4F 00 B2 40 82 48
+54 45 30 4D AA 43 06 4E 4F 45 43 48 4F 00 B2 40
+30 4D 54 45 30 4D 7A 45 04 28 43 52 29 00 2F 83
+8F 4E 00 00 3E 40 0D 00 E3 3F E0 43 02 43 52 00
+30 40 BE 45 60 43 05 53 50 41 43 45 2F 83 8F 4E
+00 00 3E 40 20 00 D4 3F D6 45 06 53 50 41 43 45
+53 00 0E 93 09 24 0D 12 3D 40 FE 45 EF 3F 00 46
+2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D 74 43 04 54
+59 50 45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A
+87 12 F0 41 28 42 08 41 90 45 12 42 24 46 2A 40
+2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
+0D 63 30 4D CC 45 07 43 41 50 53 5F 4F 4E B2 43
+AC 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
+82 43 AC 1D 30 4D EA 45 82 53 22 00 87 12 34 40
+30 46 D6 48 60 46 34 40 22 00 B0 46 4E 46 80 46
+3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D
+A2 63 C4 1D 30 4D A6 45 82 2E 22 00 87 12 6C 46
+34 40 14 46 D6 48 2A 40 00 00 04 57 4F 52 44 00
+3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
+1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
+09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
+82 93 AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
+ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E
+CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
+0C 4E 65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93
+1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
+FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
+0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
+09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
+00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
+3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42 45 52 3C 4F
+38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00
+7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
+0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
+19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
+E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
+0C 43 1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43
+3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
+7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
+6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
+6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
+22 48 0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+AC 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
-30 4D 5A 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+30 4D 96 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
 00 4A 26 41 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E
-00 00 3E 4F 30 4D 54 48 87 4C 49 54 45 52 41 4C
+00 00 3E 4F 30 4D D4 48 87 4C 49 54 45 52 41 4C
 82 93 B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40
 34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
-00 02 F1 23 30 4D 1A 46 05 43 4F 55 4E 54 2F 83
+00 02 F1 23 30 4D 56 46 05 43 4F 55 4E 54 2F 83
 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D
-B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 74 46
-C2 48 3D 40 CE 48 05 23 3D 41 3E 4F 30 4D D0 48
-0A 4E 3E 4F 3D 40 E6 48 5A 27 3D 40 BC 48 1A E2
-B6 1D B9 27 B3 23 E8 48 3E 4F 3D 40 BC 48 C0 23
+B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 B0 46
+42 49 3D 40 4E 49 E3 22 3D 41 3E 4F 30 4D 50 49
+0A 4E 3E 4F 3D 40 66 49 3B 27 3D 40 3C 49 1A E2
+B6 1D B9 27 B3 23 68 49 3E 4F 3D 40 3C 49 C0 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-5A 4B CD 3F 44 48 08 45 56 41 4C 55 41 54 45 00
+FE 4B CD 3F C4 48 08 45 56 41 4C 55 41 54 45 00
 39 40 BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40
-AC 48 24 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
-3D 41 30 4D 4C 42 04 51 55 49 54 00 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 B6 1D 82 93 08 18 17 24
-E2 B2 60 02 14 20 2F 83 8F 4E 00 00 1E 42 08 18
-82 43 08 18 B0 12 2A 40 F4 45 0F 4C 4F 41 44 22
-20 42 4F 4F 54 2E 34 54 48 22 DC 41 9A 49 82 43
-08 18 B0 12 2A 40 F4 45 03 0D 6F 6B D8 45 A0 45
-5A 42 44 40 64 42 6E 44 A0 45 AC 48 DE 40 92 41
-F4 45 0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20
-EA 49 34 40 30 FF 98 43 D2 41 F4 45 0B 46 52 41
-4D 20 66 75 6C 6C 21 20 EA 49 86 42 F2 40 E0 41
-86 49 F4 45 03 0D 20 20 DC 41 8C 49 66 44 05 41
-42 4F 52 54 3F 40 80 1C A9 3F 8F 93 02 00 A0 26
-B2 40 82 48 18 45 1B 42 34 20 0B 93 04 24 CB 43
-02 00 2B 4B FA 3F B0 12 0E 4F A2 B3 DC 05 FD 27
-B2 40 11 00 CE 05 E2 C2 23 02 92 C3 DC 05 38 40
-A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3
-DC 05 F3 23 87 12 F4 45 04 1B 5B 37 6D 00 D8 45
-D8 45 F4 45 04 1B 5B 30 6D 00 D8 45 1C 4E 5E 4E
-64 4E AC 4E 12 46 E4 49 DE 49 86 41 42 4F 52 54
-22 00 87 12 30 46 34 40 EA 49 56 48 2A 40 CC 46
-01 27 87 12 90 42 74 46 D2 46 E0 41 80 4A 2A 40
-F0 48 96 42 81 5C 92 42 BE 1D C2 1D 30 4D 00 00
-81 5B 82 43 B6 1D 30 4D 84 4A 01 5D B2 43 B6 1D
-30 4D 90 4A 83 5B 27 5D 87 12 72 4A 34 40 34 40
-56 48 56 48 2A 40 BE 4F 02 00 3E 4F 30 4D 7C 45
-82 49 53 00 87 12 86 42 F2 40 E0 41 D8 4A A8 4A
-34 40 B6 4A 56 48 2A 40 72 4A B6 4A 2A 40 C0 4A
-09 49 4D 4D 45 44 49 41 54 45 1A 42 AE 1D FA D0
-80 00 00 00 30 4D 2C 46 87 52 45 43 55 52 53 45
-19 42 C4 1D 99 42 B2 1D 00 00 A2 53 C4 1D 30 4D
-36 49 88 50 4F 53 54 50 4F 4E 45 00 87 12 90 42
-74 46 D2 46 54 40 E0 41 80 4A 92 41 E0 41 3C 4B
-34 40 34 40 56 48 56 48 34 40 56 48 56 48 2A 40
-82 9F B4 1D A0 24 87 12 F4 45 0F 73 74 61 63 6B
-20 6D 69 73 6D 61 74 63 68 21 F0 49 87 12 50 46
-90 42 74 46 66 4B 08 4E 7A 4E 5A D3 5A 53 0A 58
-19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E 82 48 AE 1D
-82 49 B0 1D 82 4A B2 1D 2A 52 82 4A C4 1D 3E 4F
-3D 41 30 41 6E 46 08 56 41 52 49 41 42 4C 45 00
-B0 12 5C 4B BA 40 86 12 FC FF 71 3C 98 48 08 43
-4F 4E 53 54 41 4E 54 00 B0 12 5C 4B BA 40 85 12
-FC FF 8A 4E FE FF 3E 4F 62 3C AE 4B 06 43 52 45
-41 54 45 00 B0 12 5C 4B BA 40 85 12 FC FF 8A 4A
-FE FF 55 3C 06 49 05 44 4F 45 53 3E 1A 42 B2 1D
-BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D E6 4B
-05 44 45 46 45 52 B0 12 5C 4B BA 40 30 40 FC FF
-BA 40 FC 4B FE FF 3B 3C A4 4A 01 3A B0 12 5C 4B
-BA 40 87 12 FC FF A2 83 C4 1D B2 43 B6 1D 82 4F
-B4 1D 30 4D 1A 4C 81 3B 82 93 B6 1D 24 27 87 12
-34 40 2A 40 56 48 40 4B 92 4A 2A 40 4E 4C 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D 68 48 06 4D
-41 52 4B 45 52 00 B0 12 5C 4B BA 40 84 12 FC FF
-BA 40 4C 4C FE FF 9A 42 C6 1D 00 00 28 83 8A 48
-02 00 A2 52 C4 1D 18 42 AE 1D 19 42 B0 1D A8 49
-FE FF 89 48 00 00 30 4D E0 4A 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D BE 40 E0 41
-00 00 2E 53 30 4D 00 4C 84 45 4C 53 45 00 A2 52
-C4 1D 1A 42 C4 1D BA 40 DC 41 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D D2 45 84 54 48 45 4E 00 9E 42
-C4 1D 00 00 3E 4F 30 4D CC 4B 85 42 45 47 49 4E
-30 40 98 43 D8 4C 85 55 4E 54 49 4C 39 40 E0 41
-A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 5A 4A 85 41 47 41 49 4E 39 40 DC 41
-EF 3F 96 4B 85 57 48 49 4C 45 87 12 9E 4C 78 40
-2A 40 F8 4A 86 52 45 50 45 41 54 00 87 12 1C 4D
-DE 4C 2A 40 B8 4C 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 C4 1D 1E 42 C4 1D BE 40 F0 41 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D 5E 4C 84 4C
-4F 4F 50 00 39 40 12 42 A2 52 C4 1D 1A 42 C4 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-F4 43 85 2B 4C 4F 4F 50 39 40 00 42 E5 3F 6E 4D
-85 4C 45 41 56 45 1A 42 C4 1D BA 40 22 42 00 00
-BA 40 DC 41 02 00 B2 50 06 00 C4 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D B0 4D 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 34 40 CA 1D FA 40 2A 40 84 12 14 4E
-F6 53 D4 66 0C 67 F6 66 B4 53 A2 4D BE 60 66 5B
-D0 4E AA 66 32 66 2E 65 46 41 9A 66 9A 4A 7A 5B
-00 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D D9 3F
-3A 40 0E 00 39 40 CC 1D 38 40 CA 1D CC 3F 82 43
-CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D 6E 4E 3A 4E
-82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40 10 00 09 4A
-08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
-1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
-12 4B 09 50 57 52 5F 53 54 41 54 45 84 12 6C 4E
-B0 53 24 67 34 4D 09 52 53 54 5F 53 54 41 54 45
-92 42 0E 18 B0 4E 92 42 0C 18 B2 4E EF 3F A2 4E
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D B0 4E
-92 42 C4 1D B2 4E 30 4D B6 4E 08 52 53 54 5F 48
-45 52 45 00 92 42 C6 1D 0E 18 92 42 C4 1D 0C 18
-EC 3F B2 40 A2 50 04 51 B2 40 EC 44 E0 44 B2 40
-46 45 56 45 B2 40 82 45 96 45 B2 40 EC 43 FA 43
-B2 40 7E 44 70 44 82 43 72 5A 82 43 7E 5A 82 43
-8A 5A 82 43 BA 5A 82 43 C6 5A 82 43 D2 5A B2 40
-0A 00 DA 1D 30 41 24 4D 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23 B0 12
-02 4F B2 40 24 67 0C 18 B2 40 B0 53 0E 18 A8 3F
-EA 4C 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D 36 4C 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D A4 4F 86 5B 45 4C 53 45 5D 00 87 12 34 40
-01 00 90 42 74 46 9E 48 44 40 E0 41 2A 50 8A 40
-8A 40 F4 45 04 5B 49 46 5D 00 7A 4F EA 41 E8 4F
-32 47 2C 41 DC 41 1E 50 8A 40 8A 40 F4 45 06 5B
-45 4C 53 45 5D 00 7A 4F EA 41 0C 50 32 47 AE 4F
-44 40 E0 41 08 50 2C 41 DC 41 1E 50 F4 45 06 5B
-54 48 45 4E 5D 00 7A 4F EA 41 1E 50 AE 4F 54 40
-EA 41 26 50 2A 40 DC 41 C2 4F 32 47 F4 45 03 0D
-6B 6F D8 45 A0 45 5A 42 44 40 64 42 6E 44 40 50
-82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40
-C2 4F 30 4D B4 4F 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D 56 50 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 90 42 74 46 D2 46 6C 40 86 41 2A 40
-66 50 89 5B 44 45 46 49 4E 45 44 5D 87 12 90 42
-74 46 D2 46 6C 40 2A 40 9A 4C 06 28 57 41 52 4D
-29 00 1E 42 08 18 87 12 F4 45 05 0D 1B 5B 37 6D
-D8 45 82 43 F4 45 27 20 46 61 73 74 46 6F 72 74
-68 20 56 31 36 32 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 D8 45
-34 40 30 FF 98 43 28 41 4E 43 F4 45 0B 62 79 74
-65 73 20 66 72 65 65 20 06 4A 48 4F 04 57 41 52
-4D 00 30 40 A2 50 72 4F 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A 5C 01 B2 D0 03 00 04 02
-B2 40 FC FF 02 02 B2 C0 03 00 06 02 B2 D0 00 04
-24 02 B2 D3 26 02 B2 43 22 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03 F2 D3
-22 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
-41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
-66 01 39 40 80 00 B2 40 33 00 64 01 D2 43 61 01
-92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
-C2 A2 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
-10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00 A2 04
-3A 40 14 51 39 40 B4 FF 89 4A 00 00 29 53 FC 23
-92 42 02 18 F0 FF B2 40 18 00 0A 18 31 40 E0 1C
-3F 40 80 1C 37 40 00 40 36 40 BC 40 35 40 08 40
-34 40 14 40 B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3
-30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3 18 53
-82 48 08 18 B2 40 81 00 C0 05 B2 42 C6 05 B2 40
-A1 F7 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3
-DA 05 3D 40 3C 52 18 42 08 18 38 90 0A 00 8F 26
-38 90 16 00 8C 2E 28 93 43 22 38 26 3E 52 E2 B2
-60 02 5F 23 B2 40 81 A9 40 06 B2 40 30 00 46 06
-D2 D3 25 02 B2 D0 C0 04 0C 02 B2 C0 C0 04 06 02
-92 C3 40 06 39 40 00 20 89 43 00 00 29 53 39 90
-54 21 FA 23 39 42 B0 12 68 5D D2 C3 23 02 2C 42
-B2 40 95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12
-2C 5D 02 24 30 40 06 5E B0 12 66 5D 7A 93 FC 23
-B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20
-1A 43 B0 12 2C 5D 29 42 B0 12 68 5D 92 43 14 20
-82 43 16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43
-B0 12 2C 5D B2 40 40 69 18 20 B0 12 22 5D 03 24
-58 83 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50
-18 20 B0 12 22 5D CE 23 92 D3 40 06 82 43 46 06
-92 C3 40 06 B0 12 8E 5D 38 40 00 1E 92 48 C6 01
-04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20
-7A 80 06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53
-07 24 5A 53 05 24 3A 50 0B 20 0C 4A 30 40 0C 5E
-B0 12 8E 5D D2 48 0D 00 12 20 19 48 0E 00 82 49
-08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A
-0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24
-82 49 0E 20 39 50 20 00 19 82 12 20 19 82 12 20
-82 49 10 20 92 42 02 20 2C 20 BB 3E 84 12 14 4E
-D6 59 82 5A 8A 59 D6 5A 50 59 0A 5A 54 56 00 00
-46 59 F6 59 A8 59 E6 59 64 57 00 00 00 00 E8 5A
-40 4E 9A 50 85 48 49 32 4C 4F 87 12 98 43 B2 4C
-56 48 92 4A 42 4E 8C 53 2A 40 08 51 04 43 4F 44
-45 00 B0 12 5C 4B A2 82 C4 1D 87 12 2E 4C DC 41
-C4 53 00 00 07 45 4E 44 43 4F 44 45 87 12 50 4E
-40 4B 2A 40 16 4D 03 41 53 4D 92 42 C8 1D B8 1D
-B2 40 90 53 C8 1D E5 3F E4 53 06 45 4E 44 41 53
-4D 00 92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C
-4F 4E 1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D
-B2 43 B6 1D 30 40 50 4E 00 00 05 4C 4F 32 48 49
-1A 42 C4 1D BA 40 B0 12 00 00 BA 40 2A 40 02 00
-A2 52 C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A
-1A 52 C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
-2A 88 82 4A C2 1D 30 4D B0 12 2A 40 74 46 D2 46
-EA 41 8C 54 8E 47 E0 41 80 4A AE 54 8E 54 29 4E
-39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
-1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
-19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00
-12 12 C2 1D 92 53 C2 1D B0 12 2A 40 74 46 8E 47
-E0 41 E0 54 D6 54 21 53 3E 90 10 00 BB 2D 30 41
-E2 54 B2 41 C2 1D 22 D3 30 41 87 12 90 42 56 54
-F2 54 82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D
-0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D
-B0 12 78 54 0E 93 04 20 B2 40 00 03 BC 1D 27 3C
-1E 93 04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20
-B2 40 20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02
-BC 1D 15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C
-3E 93 04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00
-BC 1D 19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F
-3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
-BC 1D 92 53 C2 1D 30 12 62 55 76 3F FA 90 40 00
-00 00 1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12
-C0 54 0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12
-C0 54 32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D
-8E 10 82 5E BC 1D D3 3F B0 12 C0 54 F9 23 B2 50
-10 00 BC 1D 3E 40 28 00 B0 12 78 54 30 12 B2 55
-67 3F 87 12 90 42 56 54 EA 55 FE 90 26 00 00 00
-3E 40 20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12
-C0 54 DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12
-78 54 B0 12 B0 54 D5 23 3D 40 80 4A 30 4D D8 44
-04 52 45 54 49 00 87 12 34 40 00 13 56 48 2A 40
-34 40 2C 00 EA 54 E2 55 3A 56 2E 4E 1E D2 BC 1D
-19 42 BA 1D 92 3F 3A 54 03 4D 4F 56 84 12 30 56
-00 40 48 56 05 4D 4F 56 2E 42 84 12 30 56 40 40
-00 00 03 41 44 44 84 12 30 56 00 50 62 56 05 41
-44 44 2E 42 84 12 30 56 40 50 6E 56 04 41 44 44
-43 00 84 12 30 56 00 60 7C 56 06 41 44 44 43 2E
-42 00 84 12 30 56 40 60 20 56 04 53 55 42 43 00
-84 12 30 56 00 70 9A 56 06 53 55 42 43 2E 42 00
-84 12 30 56 40 70 A8 56 03 53 55 42 84 12 30 56
-00 80 B8 56 05 53 55 42 2E 42 84 12 30 56 40 80
-1C 54 03 43 4D 50 84 12 30 56 00 90 D2 56 05 43
-4D 50 2E 42 84 12 30 56 40 90 0A 54 04 44 41 44
-44 00 84 12 30 56 00 A0 EC 56 06 44 41 44 44 2E
-42 00 84 12 30 56 40 A0 DE 56 03 42 49 54 84 12
-30 56 00 B0 0A 57 05 42 49 54 2E 42 84 12 30 56
-40 B0 16 57 03 42 49 43 84 12 30 56 00 C0 24 57
-05 42 49 43 2E 42 84 12 30 56 40 C0 30 57 03 42
-49 53 84 12 30 56 00 D0 3E 57 05 42 49 53 2E 42
-84 12 30 56 40 D0 00 00 03 58 4F 52 84 12 30 56
-00 E0 58 57 05 58 4F 52 2E 42 84 12 30 56 40 E0
-8A 56 03 41 4E 44 84 12 30 56 00 F0 72 57 05 41
-4E 44 2E 42 84 12 30 56 40 F0 90 42 EA 54 90 57
-1A 42 BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00
-82 DA BC 1D 4A 3F C4 56 03 52 52 43 84 12 8A 57
-00 10 A8 57 05 52 52 43 2E 42 84 12 8A 57 40 10
-B4 57 04 53 57 50 42 00 84 12 8A 57 80 10 C2 57
-03 52 52 41 84 12 8A 57 00 11 D0 57 05 52 52 41
-2E 42 84 12 8A 57 40 11 DC 57 03 53 58 54 84 12
-8A 57 80 11 00 00 04 50 55 53 48 00 84 12 8A 57
-00 12 F6 57 06 50 55 53 48 2E 42 00 84 12 8A 57
-40 12 4A 57 04 43 41 4C 4C 00 84 12 8A 57 80 12
-34 40 2C 00 EA 54 E2 55 2A 58 59 42 BC 1D 5A 42
-BD 1D 82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89
-02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
-1A 53 0E 4A 87 12 82 43 F4 45 0D 6F 75 74 20 6F
-66 20 62 6F 75 6E 64 73 F0 49 04 58 05 50 55 53
-48 4D 84 12 20 58 00 15 6C 58 04 50 4F 50 4D 00
-84 12 20 58 00 17 90 42 56 54 8C 58 82 43 BC 1D
-92 42 C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40
-2C 00 B0 12 2A 40 74 46 8E 47 E0 41 80 4A E2 55
-B2 58 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
-6F 3F EA 57 04 52 52 43 4D 00 84 12 86 58 50 00
-C4 58 04 52 52 41 4D 00 84 12 86 58 50 01 D2 58
-04 52 4C 41 4D 00 84 12 86 58 50 02 E0 58 04 52
-52 55 4D 00 84 12 86 58 50 03 85 12 00 3C EE 58
-03 53 3E 3D 85 12 00 38 00 59 02 53 3C 00 85 12
-00 34 7A 58 03 30 3E 3D 85 12 00 30 14 59 02 30
-3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
-28 59 03 55 3E 3D 85 12 00 28 1E 59 03 30 3C 3E
-85 12 00 24 3C 59 02 30 3D 00 85 12 00 20 E4 44
-02 49 46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D
-0E 4A 30 4D 32 59 04 54 48 45 4E 00 1A 42 C4 1D
-08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
-68 2F 88 DA 00 00 30 4D FA 56 04 45 4C 53 45 00
-1A 42 C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83
-8F 4A 00 00 E3 3F 66 59 05 55 4E 54 49 4C 3A 4F
-08 4E 3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90
-00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
-C4 1D 30 4D 7E 57 05 41 47 41 49 4E 87 12 FA 58
-AE 59 2A 40 00 00 05 57 48 49 4C 45 87 12 54 59
-78 40 2A 40 0A 59 06 52 45 50 45 41 54 00 87 12
-FA 58 AE 59 6C 59 2A 40 00 00 03 4A 4D 50 87 12
-72 4A FA 58 AE 59 2A 40 3E B0 00 10 03 20 3E E0
-00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
-30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
-87 12 18 5A 72 4A 78 40 AE 59 2A 40 4E 5A 3D 41
-08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00
-30 4D 88 43 00 00 A4 3F 14 58 03 42 57 31 84 12
-4C 5A 00 00 6A 5A 03 42 57 32 84 12 4C 5A 00 00
-76 5A 03 42 57 33 84 12 4C 5A 00 00 8E 5A 3D 41
-1A 42 C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53
-C4 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
-00 00 03 46 57 31 84 12 8C 5A 00 00 B2 5A 03 46
-57 32 84 12 8C 5A 00 00 BE 5A 03 46 57 33 84 12
-8C 5A 00 00 CA 5A 04 47 4F 54 4F 00 87 12 FA 58
-72 4A 4C 48 2A 40 3A 5A 05 3F 47 4F 54 4F 87 12
-18 5A 72 4A 4C 48 2A 40 82 50 09 7B 55 54 49 4C
-49 54 59 7D 30 4D 5C 46 02 2E 53 00 8F 4E FE FF
-0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
-FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40 3C 00
-54 45 82 43 34 40 08 00 54 45 34 40 3E 00 54 45
-A0 45 8A 40 8A 40 C4 41 EA 41 52 5B 62 40 62 40
-2A 40 F0 41 28 42 F2 40 4E 43 34 40 02 00 00 42
-54 5B 2A 40 08 5B 03 2E 52 53 8F 4E FE FF 8F 41
-FA FF 3E 40 E0 1C D3 3F 3A 47 01 3F 2E 4E 30 40
-4E 43 FC 50 05 57 4F 52 44 53 87 12 94 45 34 40
-03 00 B6 45 34 40 CA 1D F2 40 50 42 34 40 10 00
-44 40 20 41 E4 4D 34 40 00 00 44 40 34 40 10 00
-44 40 20 41 34 40 00 00 F0 41 44 40 28 42 50 42
-20 41 F2 40 D2 41 E0 41 D8 5B 62 40 62 40 28 42
-44 40 50 42 20 41 F2 40 34 40 02 00 00 42 BA 5B
-54 40 E0 41 1A 5C 44 40 34 40 02 00 28 41 F2 40
-9A 40 50 42 20 41 FA 40 44 40 9E 48 34 40 7F 00
-36 41 D8 45 08 41 34 40 0F 00 36 41 34 40 10 00
-78 40 28 41 B6 45 DC 41 A6 5B 62 40 2A 40 DE 4D
-03 4D 41 58 2E 9F 07 38 2F 53 30 4D 20 5C 03 4D
-49 4E 2E 9F F9 3B 3E 4F 30 4D F6 4C 03 55 2E 52
-87 12 B0 40 9A 42 34 40 00 00 A6 42 FE 42 18 43
-BC 40 8A 40 28 41 34 40 00 00 24 5C B6 45 D8 45
-2A 40 46 4D 04 44 55 4D 50 00 0D 12 12 12 DA 1D
-B2 40 10 00 DA 1D 2E 5F BF F0 F0 FF 00 00 B0 12
-2A 40 78 40 F0 41 94 45 28 42 34 40 07 00 40 5C
-A0 45 28 42 34 40 10 00 20 41 28 42 F0 41 28 42
-08 41 34 40 03 00 40 5C 12 42 9E 5C A0 45 A0 45
-28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
-34 40 7E 00 32 5C 90 42 24 5C 54 45 12 42 BC 5C
-34 40 10 00 00 42 86 5C BC 40 7A 42 FA 40 2A 40
-D2 C3 23 02 E2 B2 60 02 02 24 30 40 0E 51 1A 52
-04 20 19 62 06 20 92 43 14 20 A2 93 02 20 07 24
-0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A
-15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49
-18 20 B0 12 66 5D 7A 93 FC 23 0A 43 39 40 05 00
-D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06
-FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43
-4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92
-4C 06 F3 23 30 41 19 43 3A 43 8A 10 C2 4A 4E 06
-82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
-19 83 F3 23 5A 42 4C 06 30 41 1A 52 08 20 09 43
-1C D3 F2 40 51 00 19 20 B0 12 E0 5C 34 20 B0 12
-66 5D 7A 90 FE FF 04 24 FA 23 D9 42 4C 06 FF 1D
-F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43
-4E 06 3C C0 03 00 D2 D3 23 02 30 41 09 43 2C D3
-F0 40 58 00 45 C2 B0 12 E0 5C 15 20 3A 40 FE FF
-29 43 B0 12 6A 5D D2 49 00 1E 4E 06 03 43 19 53
-39 90 00 02 F8 23 39 40 03 00 B0 12 68 5D 7A C0
-E1 00 6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3 23 02
-87 12 F4 45 0B 3C 20 53 44 20 45 72 72 6F 72 21
-22 5E 2F 82 8F 4E 02 00 9F 42 DA 1D 00 00 B2 40
-10 00 DA 1D 0E 4C B0 12 2A 40 4E 43 7A 42 FA 40
-F0 49 FA 5A 09 7B 53 44 5F 4C 4F 41 44 7D 30 4D
-39 4F 18 42 C4 1D 4A 4E 0E 48 C8 4A 00 00 18 53
-30 40 F6 4D 92 4B 0E 00 22 20 92 4B 10 00 24 20
-5A 42 23 20 58 42 22 20 A2 93 02 20 08 20 59 42
-24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58
-30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20
-03 20 92 93 22 20 14 24 92 42 22 20 D0 04 92 42
-24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20
-92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20
-30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12
-92 5E 5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41
-09 93 07 24 F8 90 20 00 00 1E 03 20 18 53 19 83
-F9 23 30 41 1B 42 34 20 82 43 1E 20 B2 90 00 02
-20 20 96 20 BB 80 00 02 12 00 8B 73 14 00 DB 53
-03 00 DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12
-64 5E B0 12 8A 5D 8B 43 10 00 9B 48 00 1E 0E 00
-92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02
-20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20 70 2C
-BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12
-D2 5E 1A 42 1A 20 19 42 1C 20 0A 3F 3C 42 3B 40
-40 20 09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00
-04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00
-3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B 34 20
-8B 49 00 00 4A 93 07 34 49 93 05 24 C9 93 02 00
-02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42
-1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48
-08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48
-1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00
-9B 48 1E 1E 14 00 82 43 1E 20 6A 93 62 27 CC 37
-8B 43 16 00 7A 93 05 24 99 37 99 52 C2 1D 16 00
-95 3F 19 42 C2 1D 1A 42 BE 1D 0A 89 82 4A 36 20
-19 52 C0 1D 82 49 38 20 B2 40 FC 43 70 44 86 3F
-1B 42 34 20 82 43 20 20 0B 93 AE 27 EB 93 02 00
-04 20 B0 12 B0 64 B0 12 78 64 5A 4B 02 00 CB 43
-02 00 2B 4B 82 4B 34 20 5A 53 05 24 9D 37 92 4B
-16 00 1E 20 6B 3F 1E 42 36 20 9F 42 38 20 02 00
-B2 40 7E 44 70 44 30 41 EA 4E 85 52 45 41 44 22
-5A 43 19 3C 84 5B 86 57 52 49 54 45 22 00 6A 43
-12 3C 64 5C 84 44 45 4C 22 00 6A 42 0C 3C CC 53
-05 43 4C 4F 53 45 B0 12 40 60 30 4D 2E 5C 85 4C
+2C 49 A4 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
+3D 41 30 4D 18 49 04 42 4F 4F 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 B6 1D 82 93 08 18 29 24
+E2 B2 60 02 26 20 2F 83 8F 4E 00 00 1E 42 08 18
+82 43 08 18 B0 12 2A 40 30 46 0F 4C 4F 41 44 22
+20 42 4F 4F 54 2E 34 54 48 22 DC 41 3E 4A 4C 42
+04 51 55 49 54 00 30 40 BC 49 B8 45 06 28 51 55
+49 54 29 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
+B6 1D 82 43 08 18 B0 12 2A 40 30 46 03 0D 6F 6B
+14 46 DC 45 5A 42 44 40 64 42 92 44 DC 45 2C 49
+DE 40 92 41 30 46 0D 73 74 61 63 6B 20 65 6D 70
+74 79 21 20 8E 4A 34 40 30 FF C2 43 D2 41 30 46
+0B 46 52 41 4D 20 66 75 6C 6C 21 20 8E 4A 86 42
+F2 40 E0 41 2A 4A 30 46 03 0D 20 20 DC 41 30 4A
+8A 44 05 41 42 4F 52 54 3F 40 80 1C BC 3F 8F 93
+02 00 6C 26 B2 40 82 48 54 45 1B 42 34 20 0B 93
+04 24 CB 43 02 00 2B 4B FA 3F B0 12 20 50 A2 B3
+DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02 92 C3
+DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
+FA 23 92 B3 DC 05 F3 23 87 12 30 46 04 1B 5B 37
+6D 00 14 46 14 46 30 46 04 1B 5B 30 6D 00 14 46
+FE 4E 5C 4F 70 4F BE 4F 4E 46 88 4A 82 4A 86 41
+42 4F 52 54 22 00 87 12 6C 46 34 40 8E 4A D6 48
+2A 40 08 47 01 27 87 12 90 42 B0 46 0E 47 E0 41
+24 4B 2A 40 70 49 96 42 81 5C 92 42 BE 1D C2 1D
+30 4D 00 00 81 5B 82 43 B6 1D 30 4D 28 4B 01 5D
+B2 43 B6 1D 30 4D 34 4B 83 5B 27 5D 87 12 16 4B
+34 40 34 40 D6 48 D6 48 2A 40 BE 4F 02 00 3E 4F
+30 4D 0C 4A 82 49 53 00 87 12 86 42 F2 40 E0 41
+7C 4B 4C 4B 34 40 5A 4B D6 48 2A 40 16 4B 5A 4B
+2A 40 64 4B 09 49 4D 4D 45 44 49 41 54 45 1A 42
+AE 1D FA D0 80 00 00 00 30 4D 68 46 87 52 45 43
+55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
+C4 1D 30 4D 00 4A 88 50 4F 53 54 50 4F 4E 45 00
+87 12 90 42 B0 46 0E 47 54 40 E0 41 24 4B 92 41
+E0 41 E0 4B 34 40 34 40 D6 48 D6 48 34 40 D6 48
+D6 48 2A 40 82 9F B4 1D A0 24 87 12 30 46 0F 73
+74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 94 4A
+87 12 8C 46 90 42 B0 46 0A 4C 08 4E 7A 4E 5A D3
+5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
+82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
+C4 1D 3E 4F 3D 41 30 41 AA 46 08 56 41 52 49 41
+42 4C 45 00 B0 12 00 4C BA 40 86 12 FC FF 71 3C
+B6 49 08 43 4F 4E 53 54 41 4E 54 00 B0 12 00 4C
+BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 52 4C
+06 43 52 45 41 54 45 00 B0 12 00 4C BA 40 85 12
+FC FF 8A 4A FE FF 55 3C 86 49 05 44 4F 45 53 3E
+1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
+30 4D 8A 4C 05 44 45 46 45 52 B0 12 00 4C BA 40
+30 40 FC FF BA 40 A0 4C FE FF 3B 3C 48 4B 01 3A
+B0 12 00 4C BA 40 87 12 FC FF A2 83 C4 1D B2 43
+B6 1D 82 4F B4 1D 30 4D BE 4C 81 3B 82 93 B6 1D
+24 27 87 12 34 40 2A 40 D6 48 E4 4B 36 4B 2A 40
+F2 4C 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D
+E8 48 06 4D 41 52 4B 45 52 00 B0 12 00 4C BA 40
+84 12 FC FF BA 40 F0 4C FE FF 9A 42 C6 1D 00 00
+28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
+B0 1D A8 49 FE FF 89 48 00 00 30 4D 84 4B 82 49
+46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
+BE 40 E0 41 00 00 2E 53 30 4D A4 4C 84 45 4C 53
+45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC 41 FC FF
+8E 4A 00 00 2A 83 0E 4A 30 4D 0E 46 84 54 48 45
+4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 70 4C 85 42
+45 47 49 4E 30 40 C2 43 7C 4D 85 55 4E 54 49 4C
+39 40 E0 41 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
+8A 4E FE FF 3E 4F 30 4D FE 4A 85 41 47 41 49 4E
+39 40 DC 41 EF 3F 3A 4C 85 57 48 49 4C 45 87 12
+42 4D 78 40 2A 40 9C 4B 86 52 45 50 45 41 54 00
+87 12 C0 4D 82 4D 2A 40 5C 4D 82 44 4F 00 2F 83
+8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 41
+FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
+02 4D 84 4C 4F 4F 50 00 39 40 12 42 A2 52 C4 1D
+1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
+A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
+3E 4F 30 4D 1E 44 85 2B 4C 4F 4F 50 39 40 00 42
+E5 3F 12 4E 85 4C 45 41 56 45 1A 42 C4 1D BA 40
+22 42 00 00 BA 40 DC 41 02 00 B2 50 06 00 C4 1D
+A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
+54 4E 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
+0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
+1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
+00 00 1A 83 FA 23 30 4D C8 4D 0A 56 4F 43 41 42
+55 4C 41 52 59 00 87 12 78 4C 34 40 10 00 34 40
+00 00 F0 41 34 40 00 00 D6 48 12 42 D4 4E C2 43
+34 40 C6 1D 44 40 F2 40 D6 48 FA 40 90 4C 34 40
+CA 1D FA 40 2A 40 14 4B 05 46 4F 52 54 48 84 12
+EE 4E 1C 55 00 60 6C 69 36 69 F0 67 46 4E 1E 67
+56 66 E2 4F 7A 69 2C 67 74 66 46 41 E0 67 3E 4B
+6A 66 00 00 BA 4D 04 41 4C 53 4F 00 3A 40 0E 00
+39 40 CA 1D 38 40 CC 1D B6 3F B6 4B 08 50 52 45
+56 49 4F 55 53 00 3A 40 0E 00 39 40 CC 1D 38 40
+CA 1D A3 3F 98 46 04 4F 4E 4C 59 00 82 43 CC 1D
+30 4D EA 4D 0B 44 45 46 49 4E 49 54 49 4F 4E 53
+92 42 CA 1D C8 1D 30 4D FE 4E 5C 4F 70 4F 80 4F
+3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D 3C 4F 09 50 57 52 5F 53 54 41 54 45 84 12
+78 4F D6 54 9E 69 D8 4D 09 52 53 54 5F 53 54 41
+54 45 92 42 0E 18 C2 4F 92 42 0C 18 C4 4F EF 3F
+B4 4F 08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D
+C2 4F 92 42 C4 1D C4 4F 30 4D C8 4F 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42 C4 1D
+0C 18 EC 3F B2 40 B4 51 18 52 B2 40 10 45 04 45
+B2 40 82 45 92 45 B2 40 BE 45 D2 45 B2 40 16 44
+24 44 B2 40 A2 44 94 44 82 43 98 5B 82 43 A4 5B
+82 43 B0 5B 82 43 E0 5B 82 43 EC 5B 82 43 F8 5B
+B2 40 0A 00 DA 1D 30 41 BA 4E 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23
+B0 12 14 50 B2 40 9E 69 0C 18 B2 40 D6 54 0E 18
+A8 3F 8E 4D 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D DA 4C 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D B6 50 86 5B 45 4C 53 45 5D 00 87 12
+34 40 01 00 90 42 B0 46 1E 49 44 40 E0 41 3C 51
+8A 40 8A 40 30 46 04 5B 49 46 5D 00 8C 50 EA 41
+FA 50 6E 47 2C 41 DC 41 30 51 8A 40 8A 40 30 46
+06 5B 45 4C 53 45 5D 00 8C 50 EA 41 1E 51 6E 47
+C0 50 44 40 E0 41 1A 51 2C 41 DC 41 30 51 30 46
+06 5B 54 48 45 4E 5D 00 8C 50 EA 41 30 51 C0 50
+54 40 EA 41 38 51 2A 40 DC 41 D4 50 6E 47 30 46
+03 0D 6B 6F 14 46 DC 45 5A 42 44 40 64 42 92 44
+52 51 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 D4 50 30 4D C6 50 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 68 51 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 42 B0 46 0E 47 6C 40 86 41
+2A 40 78 51 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 42 B0 46 0E 47 6C 40 2A 40 3E 4D 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 30 46 06 0D 1B 5B
+37 6D 23 00 14 46 AC 43 30 46 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 14 46 34 40 30 FF C2 43 28 41 78 43 30 46
+0B 62 79 74 65 73 20 66 72 65 65 20 AA 4A 5A 50
+04 57 41 52 4D 00 30 40 B4 51 84 50 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0
+03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02
+B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
+26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00
+40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00
+62 01 82 43 66 01 39 40 80 00 B2 40 33 00 64 01
+D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24
+59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 42
+B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0
+40 00 A2 04 3A 40 28 52 39 40 B4 FF 89 4A 00 00
+29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
+31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40
+35 40 08 40 34 40 14 40 B2 40 0A 00 DA 1D B2 43
+AC 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20
+38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 42
+C6 05 B2 40 A1 F7 C8 05 F2 D0 03 00 0D 02 92 C3
+C0 05 92 D3 DA 05 3D 40 50 53 18 42 08 18 38 90
+0A 00 8E 26 38 90 16 00 8B 2E 28 93 42 22 37 26
+52 53 E2 B2 60 02 5F 23 B2 40 81 A9 40 06 B2 40
+30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 B2 C0
+C0 04 06 02 92 C3 40 06 39 40 00 20 89 43 00 00
+29 53 39 90 66 21 FA 23 39 42 B0 12 A6 5C D2 C3
+23 02 2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20
+1A 43 B0 12 6A 5C 02 24 30 40 44 5D B0 12 A4 5C
+7A 93 FC 23 B2 40 87 AA 14 20 92 43 16 20 B2 40
+00 48 18 20 1A 43 B0 12 6A 5C 29 42 B0 12 A6 5C
+92 43 14 20 82 43 16 20 78 43 3C 42 B2 40 00 77
+18 20 1A 43 B0 12 6A 5C B2 40 40 69 18 20 B0 12
+60 5C 03 24 58 83 F2 23 D7 3F 0C 5C A2 43 16 20
+B2 40 00 50 18 20 B0 12 60 5C CE 23 92 D3 40 06
+82 43 46 06 92 C3 40 06 B0 12 CC 5C 38 40 00 1E
+92 48 C6 01 04 20 92 48 C8 01 06 20 5A 48 C2 01
+92 43 02 20 7A 80 06 00 0D 24 7A 82 0B 24 A2 43
+02 20 6A 53 07 24 5A 53 05 24 3A 50 0B 20 0C 4A
+30 40 4A 5D B0 12 CC 5C D2 48 0D 00 12 20 19 48
+0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20 1A 48
+24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A A2 93
+02 20 04 24 82 49 0E 20 39 50 20 00 19 82 12 20
+19 82 12 20 82 49 10 20 92 42 02 20 2C 20 B2 40
+00 21 00 21 B8 3E 26 4F 09 41 53 53 45 4D 42 4C
+45 52 84 12 EE 4E FC 5A A8 5B B0 5A FC 5B 76 5A
+30 5B 7A 57 00 00 6C 5A 1C 5B CE 5A 0C 5B 8A 58
+00 00 00 00 0E 5C 22 4F AC 51 85 48 49 32 4C 4F
+87 12 C2 43 56 4D D6 48 36 4B 2C 4F B2 54 2A 40
+1C 52 04 43 4F 44 45 00 B0 12 00 4C A2 82 C4 1D
+87 12 D2 4C DC 41 EA 54 00 00 07 45 4E 44 43 4F
+44 45 87 12 46 4F E4 4B 2A 40 A8 54 03 41 53 4D
+92 42 C8 1D B8 1D B2 40 B6 54 C8 1D E5 3F 0A 55
+06 45 4E 44 41 53 4D 00 92 42 B8 1D C8 1D E9 3F
+00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D BA 40 87 12
+00 00 A2 53 C4 1D B2 43 B6 1D 30 40 46 4F A4 42
+05 4C 4F 32 48 49 1A 42 C4 1D BA 40 B0 12 00 00
+BA 40 2A 40 02 00 A2 52 C4 1D ED 3F 38 40 BE 1D
+39 48 2A 48 09 5A 1A 52 C2 1D 09 9A 03 24 7E 9A
+FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D 30 4D B0 12
+2A 40 B0 46 0E 47 EA 41 B2 55 D0 47 E0 41 24 4B
+D4 55 B4 55 29 4E 39 90 86 12 02 20 2E 53 30 41
+39 90 85 12 03 20 1E 4E 02 00 30 41 39 90 84 12
+01 20 2E 52 30 41 19 42 C4 1D A2 53 C4 1D 89 4E
+00 00 3E 40 29 00 12 12 C2 1D 92 53 C2 1D B0 12
+2A 40 B0 46 D0 47 E0 41 06 56 FC 55 21 53 3E 90
+10 00 BB 2D 30 41 08 56 B2 41 C2 1D 22 D3 30 41
+87 12 90 42 7C 55 18 56 82 43 BC 1D 92 42 C4 1D
+BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90 23 00 00 00
+34 20 92 53 C2 1D B0 12 9E 55 0E 93 04 20 B2 40
+00 03 BC 1D 27 3C 1E 93 04 20 B2 40 10 03 BC 1D
+21 3C 2E 93 04 20 B2 40 20 03 BC 1D 1B 3C 2E 92
+04 20 B2 40 20 02 BC 1D 15 3C 3E 92 04 20 B2 40
+30 02 BC 1D 0F 3C 3E 93 04 20 B2 40 30 03 BC 1D
+09 3C B2 40 30 00 BC 1D 19 42 C4 1D A2 53 C4 1D
+89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00 00 00
+08 20 B2 40 10 02 BC 1D 92 53 C2 1D 30 12 88 56
+76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00 BC 1D
+92 53 C2 1D B0 12 E6 55 0E 20 B2 50 10 00 BC 1D
+3E 40 2B 00 B0 12 E6 55 32 24 92 92 BE 1D C2 1D
+02 24 92 53 C2 1D 8E 10 82 5E BC 1D D3 3F B0 12
+E6 55 F9 23 B2 50 10 00 BC 1D 3E 40 28 00 B0 12
+9E 55 30 12 D8 56 67 3F 87 12 90 42 7C 55 10 57
+FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50 82 00
+BC 1D C2 3F B0 12 E6 55 DF 23 B2 50 80 00 BC 1D
+3E 40 28 00 B0 12 9E 55 B0 12 D6 55 D5 23 3D 40
+24 4B 30 4D FC 44 04 52 45 54 49 00 87 12 34 40
+00 13 D6 48 2A 40 34 40 2C 00 10 56 08 57 60 57
+2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F 60 55 03 4D
+4F 56 84 12 56 57 00 40 6E 57 05 4D 4F 56 2E 42
+84 12 56 57 40 40 00 00 03 41 44 44 84 12 56 57
+00 50 88 57 05 41 44 44 2E 42 84 12 56 57 40 50
+94 57 04 41 44 44 43 00 84 12 56 57 00 60 A2 57
+06 41 44 44 43 2E 42 00 84 12 56 57 40 60 46 57
+04 53 55 42 43 00 84 12 56 57 00 70 C0 57 06 53
+55 42 43 2E 42 00 84 12 56 57 40 70 CE 57 03 53
+55 42 84 12 56 57 00 80 DE 57 05 53 55 42 2E 42
+84 12 56 57 40 80 42 55 03 43 4D 50 84 12 56 57
+00 90 F8 57 05 43 4D 50 2E 42 84 12 56 57 40 90
+30 55 04 44 41 44 44 00 84 12 56 57 00 A0 12 58
+06 44 41 44 44 2E 42 00 84 12 56 57 40 A0 04 58
+03 42 49 54 84 12 56 57 00 B0 30 58 05 42 49 54
+2E 42 84 12 56 57 40 B0 3C 58 03 42 49 43 84 12
+56 57 00 C0 4A 58 05 42 49 43 2E 42 84 12 56 57
+40 C0 56 58 03 42 49 53 84 12 56 57 00 D0 64 58
+05 42 49 53 2E 42 84 12 56 57 40 D0 00 00 03 58
+4F 52 84 12 56 57 00 E0 7E 58 05 58 4F 52 2E 42
+84 12 56 57 40 E0 B0 57 03 41 4E 44 84 12 56 57
+00 F0 98 58 05 41 4E 44 2E 42 84 12 56 57 40 F0
+90 42 10 56 B6 58 1A 42 BC 1D B2 F0 70 00 BC 1D
+8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F EA 57 03 52
+52 43 84 12 B0 58 00 10 CE 58 05 52 52 43 2E 42
+84 12 B0 58 40 10 DA 58 04 53 57 50 42 00 84 12
+B0 58 80 10 E8 58 03 52 52 41 84 12 B0 58 00 11
+F6 58 05 52 52 41 2E 42 84 12 B0 58 40 11 02 59
+03 53 58 54 84 12 B0 58 80 11 00 00 04 50 55 53
+48 00 84 12 B0 58 00 12 1C 59 06 50 55 53 48 2E
+42 00 84 12 B0 58 40 12 70 58 04 43 41 4C 4C 00
+84 12 B0 58 80 12 34 40 2C 00 10 56 08 57 50 59
+59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D BE 90 00 15
+00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90 10 00
+03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 AC 43 30 46
+0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73 94 4A
+2A 59 05 50 55 53 48 4D 84 12 46 59 00 15 92 59
+04 50 4F 50 4D 00 84 12 46 59 00 17 90 42 7C 55
+B2 59 82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D
+92 53 C2 1D 3E 40 2C 00 B0 12 2A 40 B0 46 D0 47
+E0 41 24 4B 08 57 D8 59 0A 4E 3E 4F 1A 83 2A 92
+CA 2F 8A 10 5A 06 6F 3F 10 59 04 52 52 43 4D 00
+84 12 AC 59 50 00 EA 59 04 52 52 41 4D 00 84 12
+AC 59 50 01 F8 59 04 52 4C 41 4D 00 84 12 AC 59
+50 02 06 5A 04 52 52 55 4D 00 84 12 AC 59 50 03
+85 12 00 3C 14 5A 03 53 3E 3D 85 12 00 38 26 5A
+02 53 3C 00 85 12 00 34 A0 59 03 30 3E 3D 85 12
+00 30 3A 5A 02 30 3C 00 85 12 00 30 00 00 02 55
+3C 00 85 12 00 2C 4E 5A 03 55 3E 3D 85 12 00 28
+44 5A 03 30 3C 3E 85 12 00 24 62 5A 02 30 3D 00
+85 12 00 20 08 45 02 49 46 00 1A 42 C4 1D 8A 4E
+00 00 A2 53 C4 1D 0E 4A 30 4D 58 5A 04 54 48 45
+4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48 29 53 0A 89
+0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D 20 58
+04 45 4C 53 45 00 1A 42 C4 1D BA 40 00 3C 00 00
+A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F 8C 5A 05 55
+4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C4 1D 2A 83
+0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03 08 DA
+89 48 00 00 A2 53 C4 1D 30 4D A4 58 05 41 47 41
+49 4E 87 12 20 5A D4 5A 2A 40 00 00 05 57 48 49
+4C 45 87 12 7A 5A 78 40 2A 40 30 5A 06 52 45 50
+45 41 54 00 87 12 20 5A D4 5A 92 5A 2A 40 00 00
+03 4A 4D 50 87 12 16 4B 20 5A D4 5A 2A 40 3E B0
+00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34 06 28
+03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D 00 00
+04 3F 4A 4D 50 00 87 12 3E 5B 16 4B 78 40 D4 5A
+2A 40 74 5B 3D 41 08 4E 3E 4F 2A 48 0A 93 04 20
+98 42 C4 1D 00 00 30 4D 88 43 00 00 A4 3F 3A 59
+03 42 57 31 84 12 72 5B 00 00 90 5B 03 42 57 32
+84 12 72 5B 00 00 9C 5B 03 42 57 33 84 12 72 5B
+00 00 B4 5B 3D 41 1A 42 C4 1D 28 4E 08 93 08 20
+BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00 3E 4F 30 4D
+8E 43 00 00 61 3F 00 00 03 46 57 31 84 12 B2 5B
+00 00 D8 5B 03 46 57 32 84 12 B2 5B 00 00 E4 5B
+03 46 57 33 84 12 B2 5B 00 00 F0 5B 04 47 4F 54
+4F 00 87 12 20 5A 16 4B CC 48 2A 40 60 5B 05 3F
+47 4F 54 4F 87 12 3E 5B 16 4B CC 48 2A 40 D2 C3
+23 02 E2 B2 60 02 02 24 30 40 22 52 1A 52 04 20
+19 62 06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A
+49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20
+8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20
+B0 12 A4 5C 7A 93 FC 23 0A 43 39 40 05 00 D2 49
+14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
+C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06
+82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06
+F3 23 30 41 19 43 3A 43 8A 10 C2 4A 4E 06 82 93
+46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83
+F3 23 5A 42 4C 06 30 41 1A 52 08 20 09 43 1C D3
+F2 40 51 00 19 20 B0 12 1E 5C 34 20 B0 12 A4 5C
+7A 90 FE FF 04 24 FA 23 D9 42 4C 06 FF 1D F2 43
+4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06
+3C C0 03 00 D2 D3 23 02 30 41 09 43 2C D3 F0 40
+58 00 07 C3 B0 12 1E 5C 15 20 3A 40 FE FF 29 43
+B0 12 A8 5C D2 49 00 1E 4E 06 03 43 19 53 39 90
+00 02 F8 23 39 40 03 00 B0 12 A6 5C 7A C0 E1 00
+6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3 23 02 87 12
+30 46 0B 3C 20 53 44 20 45 72 72 6F 72 21 60 5D
+2F 82 8F 4E 02 00 9F 42 DA 1D 00 00 B2 40 10 00
+DA 1D 0E 4C B0 12 2A 40 78 43 7A 42 FA 40 94 4A
+4A 4E 39 4F 18 42 C4 1D 0E 48 19 83 1A 53 30 40
+9A 4E 92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42
+23 20 58 42 22 20 92 93 02 20 08 24 59 42 24 20
+89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41
+82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20
+92 93 22 20 14 24 92 42 22 20 D0 04 92 42 24 20
+D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42
+E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41
+92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12 C0 5D
+5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93
+07 24 F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23
+30 41 1B 42 34 20 82 43 1E 20 B2 90 00 02 20 20
+9C 20 BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00
+DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12 92 5D
+B0 12 C8 5C 8B 43 10 00 9B 48 00 1E 0E 00 92 93
+02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02 20 20
+8B 93 14 00 0B 20 92 9B 12 00 1E 20 76 2C BB 90
+00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12 00 5E
+1A 42 1A 20 19 42 1C 20 12 3F 3C 42 3B 40 40 20
+09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00 04 20
+9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00 3B 90
+00 21 EF 23 0C 5C 30 41 0C 43 82 4B 34 20 8B 49
+00 00 49 93 0A 24 99 52 C2 1D 16 00 4A 93 05 34
+C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00 CB 43
+03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00 18 42
+30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48 14 1E
+0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00 9B 48
+1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20 6A 93
+5F 27 C9 37 8B 43 16 00 7A 93 02 24 0F 38 95 3F
+19 42 C2 1D 1A 42 BE 1D 0A 89 82 4A 36 20 19 52
+C0 1D 82 49 38 20 B2 40 26 44 94 44 A2 53 00 21
+19 42 00 21 89 4D 00 00 80 3F 1B 42 34 20 0B 93
+AA 27 EB 93 02 00 04 20 B0 12 00 64 B0 12 C8 63
+5A 4B 02 00 CB 43 02 00 2B 4B 82 4B 34 20 7A 93
+09 20 1E 42 36 20 9F 42 38 20 02 00 B2 40 A2 44
+94 44 0A 3C 0B 93 8F 27 CB 93 02 00 8C 37 92 4B
+16 00 1E 20 B0 12 7A 5E 2F 53 21 52 19 42 00 21
+2D 49 A2 83 00 21 30 4D FC 4F 85 52 45 41 44 22
+5A 43 19 3C 10 52 86 57 52 49 54 45 22 00 6A 43
+12 3C 64 4F 84 44 45 4C 22 00 6A 42 0C 3C F2 54
+05 43 4C 4F 53 45 B0 12 7A 5F 30 4D 82 4E 85 4C
 4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93
-B6 1D 0E 24 87 12 34 40 34 40 56 48 56 48 12 46
-30 46 34 40 50 5E 56 48 34 40 FC 60 56 48 2A 40
-87 12 34 40 22 00 74 46 FA 60 3D 41 78 4E 08 5E
+B6 1D 0E 24 87 12 34 40 34 40 D6 48 D6 48 4E 46
+6C 46 34 40 80 5D D6 48 34 40 4C 60 D6 48 2A 40
+87 12 34 40 22 00 B0 46 4A 60 3D 41 78 4E 08 5E
 C8 43 00 00 1C 43 92 42 2C 20 22 20 92 42 2E 20
 24 20 CE 93 00 00 91 24 FE 90 3A 00 01 00 01 20
 2E 53 FE 90 5C 00 00 00 09 20 1E 53 92 42 02 20
 22 20 82 43 24 20 CE 93 00 00 73 24 82 4E 32 20
-B0 12 92 5E 34 40 20 00 A2 93 02 20 04 24 92 92
-22 20 02 20 02 24 14 42 12 20 B0 12 72 5F 2C 43
+B0 12 C0 5D 34 40 20 00 A2 93 02 20 04 24 92 92
+22 20 02 20 02 24 14 42 12 20 B0 12 A0 5E 2C 43
 0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E
 64 24 39 42 F8 9E 00 1E 04 20 18 53 19 83 FA 23
 1E 53 FE 90 2E 00 FF FF 1A 24 39 50 03 00 B0 12
-F0 5E 07 20 FE 90 5C 00 FF FF 2B 24 CE 93 FF FF
+1E 5E 07 20 FE 90 5C 00 FF FF 2B 24 CE 93 FF FF
 28 24 1E 42 32 20 1A 53 3A 90 10 00 DA 23 92 53
 1A 20 82 63 1C 20 14 83 D0 23 2C 42 3E 3C FE 90
-2E 00 FE FF EE 27 B0 12 F0 5E EB 23 39 40 03 00
+2E 00 FE FF EE 27 B0 12 1E 5E EB 23 39 40 03 00
 F8 9E 00 1E 04 20 18 53 19 83 FA 23 0A 3C CE 93
-FF FF DF 23 FE 90 5C 00 FF FF DB 23 B0 12 F0 5E
+FF FF DF 23 FE 90 5C 00 FF FF DB 23 B0 12 1E 5E
 D8 23 18 42 30 20 92 48 1A 1E 22 20 92 48 14 1E
 24 20 F8 B0 10 00 0B 1E 15 24 82 93 24 20 06 20
 82 93 22 20 03 20 92 42 02 20 22 20 CE 93 FF FF
 8A 23 92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43
-00 00 03 3C 2A 4F B0 12 7C 5F 3A 4F 34 40 14 40
+00 00 03 3C 2A 4F B0 12 AA 5E 34 40 14 40 3A 4F
 3E 4F 0A 93 06 24 7A 93 14 20 0C 93 03 20 3D 40
-BC 48 30 4D 87 12 F4 45 0B 3C 20 4F 70 65 6E 45
-72 72 6F 72 60 45 98 43 9E 48 D8 45 A0 45 DC 41
-20 5E 1A 93 B5 20 0C 93 ED 23 30 4D 8A 60 04 52
+3C 49 30 4D 87 12 30 46 0B 3C 20 4F 70 65 6E 45
+72 72 6F 72 9C 45 C2 43 1E 49 14 46 DC 45 DC 41
+5E 5D 1A 93 B5 20 0C 93 ED 23 30 4D DA 5F 04 52
 45 41 44 00 2F 83 8F 4E 00 00 1E 42 34 20 B0 12
-04 5F 1E 82 34 20 30 4D 2C 43 12 12 2A 20 18 42
-02 20 08 58 2A 41 82 9A 0A 20 A0 24 B0 12 8A 5D
+32 5E 1E 82 34 20 30 4D 2C 43 12 12 2A 20 18 42
+02 20 08 58 2A 41 82 9A 0A 20 A0 24 B0 12 C8 5C
 09 43 28 93 03 24 89 93 02 1E 03 20 89 93 00 1E
 07 24 09 58 39 90 00 02 F4 23 91 53 00 00 EA 3F
 0C 43 6A 41 B9 43 00 1E 28 93 0F 24 B9 40 FF 0F
 02 1E 09 11 8A 10 09 5A 5A 41 01 00 0A 11 09 10
 82 4A 28 20 82 49 26 20 07 3C 09 11 C2 49 26 20
 C2 4A 27 20 82 43 28 20 3A 41 82 4A 2A 20 30 41
-0A 12 1A 52 08 20 B0 12 CC 5D 3A 41 1A 52 0C 20
-30 40 CC 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00
+0A 12 1A 52 08 20 B0 12 0A 5D 3A 41 1A 52 0C 20
+30 40 0A 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00
 A2 04 FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40
 20 00 C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42
 B5 04 C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40
 00 08 C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80
 BC 07 C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41
 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E
-29 92 06 38 39 80 03 00 B0 12 CE 63 39 40 03 00
+29 92 06 38 39 80 03 00 B0 12 1E 63 39 40 03 00
 7A 4B C8 4A 00 1E 0A 93 12 24 0D 12 3D 40 0F 00
-3C 40 80 63 7A 9C F4 27 1D 83 FC 23 3D 41 6A 9C
+3C 40 D0 62 7A 9C F4 27 1D 83 FC 23 3D 41 6A 9C
 E7 27 3A 80 21 00 EC 3B 18 53 19 83 E9 23 09 93
 06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41
-2A 93 EB 20 2C 93 0D 24 0C 93 BA 24 87 12 F4 45
+2A 93 EB 20 2C 93 0D 24 0C 93 BA 24 87 12 30 46
 0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00 DC 41
-64 62 B0 12 98 62 92 42 26 20 22 20 92 42 28 20
-24 20 B0 12 10 63 B0 12 72 5F 18 42 30 20 F8 40
-20 00 0B 1E B0 12 24 63 88 43 0C 1E 88 4A 0E 1E
+B4 61 B0 12 E8 61 92 42 26 20 22 20 92 42 28 20
+24 20 B0 12 60 62 B0 12 A0 5E 18 42 30 20 F8 40
+20 00 0B 1E B0 12 74 62 88 43 0C 1E 88 4A 0E 1E
 88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42
 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42
 32 20 CB 93 00 00 CA 27 FB 90 2E 00 00 00 C6 27
-39 40 0B 00 B0 12 A0 63 B0 12 BA 64 2A 43 B0 12
-7C 5F 0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00
-B0 12 90 5D B0 12 24 63 18 4B 08 00 88 49 12 1E
+39 40 0B 00 B0 12 F0 62 B0 12 0A 64 2A 43 B0 12
+AA 5E 0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00
+B0 12 CE 5C B0 12 74 62 18 4B 08 00 88 49 12 1E
 88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B
-14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40 CE 5D
+14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40 0C 5D
 9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42
-1C 20 30 40 CE 5D B2 40 00 02 1E 20 1B 42 34 20
-B0 12 B0 64 82 43 1E 20 DB 53 03 00 DB 92 12 20
-03 00 22 20 CB 43 03 00 B0 12 64 5E 08 12 0A 12
-B0 12 98 62 2A 91 05 24 B0 12 10 63 2A 41 B0 12
-8A 5D 3A 41 38 41 98 42 26 20 00 1E 92 93 02 20
-03 24 98 42 28 20 02 1E B0 12 10 63 9B 42 26 20
-0E 00 9B 42 28 20 10 00 30 40 D2 5E 96 60 05 57
-52 49 54 45 B0 12 C6 64 30 4D 7E 62 07 53 44 5F
-45 4D 49 54 B2 90 00 02 1E 20 02 28 B0 12 C6 64
+1C 20 30 40 0C 5D B2 40 00 02 1E 20 1B 42 34 20
+B0 12 00 64 82 43 1E 20 DB 53 03 00 DB 92 12 20
+03 00 22 20 CB 43 03 00 B0 12 92 5D 08 12 0A 12
+B0 12 E8 61 2A 91 05 24 B0 12 60 62 2A 41 B0 12
+C8 5C 3A 41 38 41 98 42 26 20 00 1E 92 93 02 20
+03 24 98 42 28 20 02 1E B0 12 60 62 9B 42 26 20
+0E 00 9B 42 28 20 10 00 30 40 00 5E E6 5F 05 57
+52 49 54 45 B0 12 16 64 30 4D CE 61 07 53 44 5F
+45 4D 49 54 B2 90 00 02 1E 20 02 28 B0 12 16 64
 18 42 1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D
 58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B 15 00
 5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83
 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 34 20 19 5B
 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A
 03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01
-82 4A 1E 20 B0 12 6E 5F 30 4D 0C 93 38 20 38 90
+82 4A 1E 20 B0 12 9C 5E 30 4D 0C 93 38 20 38 90
 E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C
-00 1E B0 12 BA 64 B0 12 70 5E 82 4A 2A 20 0B 4A
-B0 12 8A 5D 1A 48 00 1E 88 43 00 1E 92 93 02 20
+00 1E B0 12 0A 64 B0 12 9E 5D 82 4A 2A 20 0B 4A
+B0 12 C8 5C 1A 48 00 1E 88 43 00 1E 92 93 02 20
 09 24 19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90
 FF 0F 02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20
-B0 12 70 5E 0B 9A E6 27 0A 12 0A 4B B0 12 10 63
-3A 41 DD 3F 0A 4B B0 12 10 63 B0 12 40 60 30 4D
-3C 5C 08 54 45 52 4D 32 53 44 22 00 87 12 AA 60
-98 43 44 66 21 53 2F 83 AF 43 00 00 3D 40 54 66
-30 40 FC 60 56 66 92 C3 DC 05 08 43 B0 12 B2 44
+B0 12 9E 5D 0B 9A E6 27 0A 12 0A 4B B0 12 60 62
+3A 41 DD 3F 0A 4B B0 12 60 62 B0 12 7A 5F 30 4D
+9A 4D 08 54 45 52 4D 32 53 44 22 00 87 12 FA 5F
+C2 43 94 65 21 53 2F 83 AF 43 00 00 3D 40 A4 65
+30 40 4C 60 A6 65 92 C3 DC 05 08 43 B0 12 D6 44
 92 B3 DC 05 FD 27 59 42 CC 05 69 92 0D 24 C8 49
-00 1E 18 53 38 90 FF 01 F3 2B 03 24 B0 12 C6 64
-EC 3F B0 12 C4 44 EC 3F B0 12 C4 44 82 48 1E 20
-B0 12 40 60 3D 41 30 4D 44 5E 0A 7B 53 44 5F 54
-4F 4F 4C 53 7D 00 30 4D 3C 65 06 53 45 43 54 4F
-52 00 09 4E 2A 4F B0 12 90 5D 87 12 9A 42 FE 42
-18 43 D8 45 A0 45 34 40 00 1E 34 40 00 02 6A 5C
-2A 40 B0 60 07 43 4C 55 53 54 45 52 82 4E 24 20
-A2 4F 22 20 B0 12 92 5E 9F 42 1A 20 00 00 1E 42
-1C 20 DF 3F 70 4A 03 46 41 54 2F 82 8F 4E 02 00
-9F 42 08 20 00 00 0E 43 D4 3F A4 60 03 44 49 52
-2F 82 8F 4E 02 00 92 42 2C 20 22 20 92 42 2E 20
-24 20 E0 3F
+00 1E 18 53 38 90 FF 01 F3 2B 03 24 B0 12 16 64
+EC 3F B0 12 E8 44 EC 3F B0 12 E8 44 82 48 1E 20
+B0 12 7A 5F 3D 41 30 4D 94 51 09 7B 55 54 49 4C
+49 54 59 7D 30 4D 56 4F 02 2E 53 00 8F 4E FE FF
+0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E
+FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40 3C 00
+90 45 AC 43 34 40 08 00 90 45 34 40 3E 00 90 45
+DC 45 8A 40 8A 40 C4 41 EA 41 42 66 62 40 62 40
+2A 40 F0 41 28 42 F2 40 78 43 34 40 02 00 00 42
+44 66 2A 40 F8 65 03 2E 52 53 8F 4E FE FF 8F 41
+FA FF 3E 40 E0 1C D3 3F 76 47 01 3F 2E 4E 30 40
+78 43 7E 64 05 57 4F 52 44 53 87 12 D0 45 34 40
+03 00 F2 45 34 40 CA 1D F2 40 50 42 34 40 10 00
+44 40 20 41 88 4E 34 40 00 00 44 40 34 40 10 00
+44 40 20 41 34 40 00 00 F0 41 44 40 28 42 50 42
+20 41 F2 40 D2 41 E0 41 C8 66 62 40 62 40 28 42
+44 40 50 42 20 41 F2 40 34 40 02 00 00 42 AA 66
+54 40 E0 41 0A 67 44 40 34 40 02 00 28 41 F2 40
+9A 40 50 42 20 41 FA 40 44 40 1E 49 34 40 7F 00
+36 41 14 46 08 41 34 40 0F 00 36 41 34 40 10 00
+78 40 28 41 F2 45 DC 41 96 66 62 40 2A 40 0E 60
+03 4D 41 58 2E 9F 07 38 2F 53 30 4D 10 67 03 4D
+49 4E 2E 9F F9 3B 3E 4F 30 4D 82 65 03 55 2E 52
+87 12 B0 40 9A 42 34 40 00 00 F6 42 28 43 42 43
+BC 40 8A 40 28 41 34 40 00 00 14 67 F2 45 14 46
+2A 40 F4 5F 04 44 55 4D 50 00 0D 12 12 12 DA 1D
+B2 40 10 00 DA 1D 2E 5F B0 12 2A 40 78 40 8A 40
+8A 40 78 43 34 40 01 00 28 41 78 43 34 40 F0 FF
+36 41 F0 41 D0 45 28 42 34 40 07 00 30 67 DC 45
+28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
+34 40 03 00 30 67 12 42 9C 67 DC 45 DC 45 28 42
+34 40 10 00 20 41 28 42 F0 41 28 42 08 41 34 40
+7E 00 22 67 90 42 14 67 90 45 12 42 BA 67 34 40
+10 00 00 42 84 67 BC 40 7A 42 FA 40 2A 40 EA 65
+0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D DA 54
+05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 1D 19 83
+1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48 AA 1D
+3E 4F 30 4D F8 4E 02 46 2B 00 BF 5F 02 00 3E 6F
+30 4D 16 68 02 46 2D 00 BF 8F 02 00 8F 7E 00 00
+3E 4F 30 4D 24 68 02 46 2F 00 1C 4F 02 00 0C EE
+0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F 04 24
+38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24 BF E3
+00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43 26 4F
+35 40 20 00 0A 9E 01 20 09 96 02 28 09 86 0A 7E
+07 67 04 64 15 83 09 30 0B 5B 08 68 09 69 0A 6A
+F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00
+0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 30 4D 36 68 03 46 23 53 2F 83 8F 4E
+00 00 2B 42 B2 90 0A 00 DA 1D 01 20 1B 53 0C 43
+A2 4F C0 04 92 42 DA 1D C8 04 9F 42 E4 04 00 00
+1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00 3E 50
+30 00 CC 4E 88 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43
+00 00 39 40 88 1D 78 3F B8 68 02 46 2A 00 92 4F
+04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04 82 4E
+E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00 1E 42
+E8 04 30 4D 0A 69 02 46 2E 00 87 12 9A 42 44 40
+B0 40 6E 41 78 40 BC 68 34 40 2C 00 58 43 28 43
+BC 40 66 43 42 43 14 46 DC 45 2A 40 8C 64 03 53
+3E 46 2F 83 8F 43 00 00 30 4D 54 67 03 44 3E 46
+2E 4F 8F 43 00 00 30 4D 5E 69 09 32 43 4F 4E 53
+54 41 4E 54 87 12 78 4C 78 40 D6 48 D6 48 90 4C
+92 69 2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFB4
-14 51 14 51 14 51 14 51 14 51 14 51 14 51 14 51
-14 51 14 51 14 51 14 51 14 51 14 51 14 51 14 51
-14 51 14 51 14 51 14 51 14 51 14 51 14 51 14 51
-14 51 14 51 14 51 14 51 14 51 14 51 F2 44 14 51
-14 51 14 51 14 51 14 51 14 51 14 51
+28 52 28 52 28 52 28 52 28 52 28 52 28 52 28 52
+28 52 28 52 28 52 28 52 28 52 28 52 28 52 28 52
+28 52 28 52 28 52 28 52 28 52 28 52 28 52 28 52
+28 52 28 52 28 52 28 52 28 52 28 52 16 45 28 52
+28 52 28 52 28 52 28 52 28 52 28 52
 q
index 7748ea0..cb9566e 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 F2 44 80 3E 80 04 05 00 18 00 F0 66 7C 53
-B2 44 C4 44 5C 5D 9A 5D
+10 00 16 45 80 3E 80 04 05 00 18 00 46 69 7E 54
+D6 44 E8 44 76 5C B4 5C
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -56,234 +56,249 @@ AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 42 04 42 41 53 45 00 85 12 DA 1D C8 40
 05 53 54 41 54 45 85 12 B6 1D 74 42 02 42 4C 00
 85 12 20 00 B4 41 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 42 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 40 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 42 02 23 53 00 87 12
-A6 42 04 43 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 42 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 42 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 42 02 55 2E 00 87 12
-9A 42 34 40 00 00 FE 42 18 43 D8 45 A0 45 2A 40
-68 41 02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41
-FE 42 9A 40 3C 43 18 43 D8 45 A0 45 2A 40 50 41
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 43 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 41 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 43 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 B2 44 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-C4 44 30 4D 92 43 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 42 03 4B 45 59 30 40 EC 43 0D 12 3D 40
-26 44 1B 42 34 20 9B 42 1E 20 16 00 3A 40 00 21
-8F 4A 02 00 8F 4E 00 00 0E 43 82 93 20 20 13 24
-19 42 1E 20 02 3C 28 44 2D 83 19 92 20 20 15 2C
-58 49 00 1E 19 53 78 90 20 00 08 2C 78 90 0A 00
-F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F 64 24
-CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12 D0 5E
-3A 41 DB 3F A6 43 06 41 43 43 45 50 54 00 30 40
-7E 44 E6 43 08 28 41 43 43 45 50 54 29 00 3C 40
-30 45 3B 40 FA 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40
-0D 00 3C 40 20 00 3D 40 24 45 92 B3 DC 05 05 24
-18 42 CC 05 38 90 0A 00 04 20 21 53 39 40 DE 44
-4D 15 B2 40 11 00 CE 05 E2 C2 23 02 A2 B3 DC 05
-FD 27 30 41 B2 40 13 00 CE 05 E2 D2 23 02 A2 B3
-DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50 30 40
-EC 44 00 00 07 28 53 4C 45 45 50 29 12 D2 0A 18
-F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27 48 9C
-06 2C 78 92 11 20 2E 9F 0F 24 1E 83 05 3C 0E 9A
-03 24 CE 48 00 00 1E 53 82 48 CE 05 A2 B3 DC 05
-FD 27 30 4D 26 45 2D 83 92 B3 DC 05 FD 27 E3 23
-B2 40 18 00 0A 18 3E 8F 3D 41 30 4D 74 44 06 28
-45 4D 49 54 29 00 08 4E 3E 4F E6 3F 62 43 04 45
-4D 49 54 00 30 40 46 45 4E 45 04 45 43 48 4F 00
-B2 40 82 48 18 45 30 4D 80 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 18 45 30 4D 3E 45 04 28 43 52
-29 00 2F 83 8F 4E 00 00 3E 40 0D 00 E3 3F B6 43
-02 43 52 00 30 40 82 45 36 43 05 53 50 41 43 45
-2F 83 8F 4E 00 00 3E 40 20 00 D4 3F 9A 45 06 53
-50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40 C2 45
-EF 3F C4 45 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D
-4A 43 04 54 59 50 45 00 0E 93 AB 24 2A 4F 8F 5E
-00 00 0E 4A 87 12 F0 41 28 42 08 41 54 45 12 42
-E8 45 2A 40 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
-0D 5E 1D B3 0D 63 30 4D 90 45 07 43 41 50 53 5F
-4F 4E B2 43 AC 1D 30 4D 0A 46 08 43 41 50 53 5F
-4F 46 46 00 82 43 AC 1D 30 4D AE 45 82 53 22 00
-87 12 34 40 F4 45 56 48 24 46 34 40 22 00 74 46
-12 46 44 46 3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F
-92 B3 C4 1D A2 63 C4 1D 30 4D 6A 45 82 2E 22 00
-87 12 30 46 34 40 D8 45 56 48 2A 40 00 00 04 57
-4F 52 44 00 3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C
-28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00
-C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53
-4B 9C F6 2F 82 93 AC 1D F3 27 7C 90 7B 00 F0 2F
-7C 80 20 00 ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42
-C4 1D 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
-44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40 CA 1D
-3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58
-2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4
-48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83
-FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30
-2E 83 8F 4C 00 00 35 40 08 40 34 40 14 40 30 4D
-2F 53 2F 53 3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42
-45 52 3C 4F 38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C
-7A 80 30 00 7A 90 0A 00 02 28 7A 80 07 00 0A 9B
-13 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
-E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E7 23
-8F 49 04 00 8F 48 02 00 8F 4C 00 00 30 4D 03 12
-0D 12 1B 42 DA 1D 0B 12 32 C0 00 02 6D 4E 0D 5E
-0C 4E 7A 40 2E 00 0D 9C 0A 28 7A 9C FC 23 32 D0
-00 02 FC 4C FE FF 0D 9C FC 2F DE 83 00 00 09 43
-08 43 3D 40 04 48 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 90 2D 00 10 2C 3B 40 10 00 7A 80 24 00
-06 24 2B 43 5A 83 03 24 3B 52 6A 53 B0 23 1C 53
-1E 83 6A 4C 7A 90 2D 00 AA 23 1C 53 1E 83 B1 43
-04 00 A5 3F 06 48 2F 53 0E 93 2C 17 82 4C DA 1D
-03 24 2F 52 0E F3 30 4D 9F 4F 02 00 04 00 BF 4F
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 42 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 42 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 42 02 23 53 00 87 12 F6 42 2E 43 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 43 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 42 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 42
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 42 02 55 2E 00 87 12 9A 42 34 40 00 00
+28 43 42 43 14 46 DC 45 2A 40 68 41 02 44 2E 00
+87 12 9A 42 78 40 8A 40 6E 41 28 43 9A 40 66 43
+42 43 14 46 DC 45 2A 40 50 41 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 43 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 41
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 43
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 D6 44 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 E8 44 30 4D BC 43
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 42 03 4B
+45 59 30 40 16 44 0D 12 3D 40 4A 44 1B 42 34 20
+9B 42 1E 20 16 00 3A 40 12 21 8F 4A 02 00 8F 4E
+00 00 0E 43 19 42 1E 20 02 3C 4C 44 2D 83 19 92
+20 20 15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C
+78 90 0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D
+2E 9F 70 24 CA 48 00 00 1A 53 1E 53 6B 3C 0A 12
+B0 12 DA 5D 3A 41 DE 3F D0 43 06 41 43 43 45 50
+54 00 30 40 A2 44 10 44 08 28 41 43 43 45 50 54
+29 00 3C 40 6C 45 3B 40 1E 45 2D 15 0A 4E 2E 4F
+0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 60 45 92 B3
+DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
+39 40 02 45 4D 15 B2 40 11 00 CE 05 E2 C2 23 02
+A2 B3 DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D2
+23 02 A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45
+45 50 30 40 10 45 00 00 07 28 53 4C 45 45 50 29
+12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B
+E3 27 48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83
+3D 40 36 45 0F 3C 38 45 38 40 20 00 3D 52 0A 3C
+42 45 78 42 3D 40 60 45 05 3C 0E 9A 03 24 CE 48
+00 00 1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D
+62 45 2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00
+0A 18 3E 8F 3D 41 30 4D 98 44 06 28 45 4D 49 54
+29 00 08 4E 3E 4F E6 3F 8C 43 04 45 4D 49 54 00
+30 40 82 45 8A 45 04 45 43 48 4F 00 B2 40 82 48
+54 45 30 4D AA 43 06 4E 4F 45 43 48 4F 00 B2 40
+30 4D 54 45 30 4D 7A 45 04 28 43 52 29 00 2F 83
+8F 4E 00 00 3E 40 0D 00 E3 3F E0 43 02 43 52 00
+30 40 BE 45 60 43 05 53 50 41 43 45 2F 83 8F 4E
+00 00 3E 40 20 00 D4 3F D6 45 06 53 50 41 43 45
+53 00 0E 93 09 24 0D 12 3D 40 FE 45 EF 3F 00 46
+2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D 74 43 04 54
+59 50 45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A
+87 12 F0 41 28 42 08 41 90 45 12 42 24 46 2A 40
+2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
+0D 63 30 4D CC 45 07 43 41 50 53 5F 4F 4E B2 43
+AC 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
+82 43 AC 1D 30 4D EA 45 82 53 22 00 87 12 34 40
+30 46 D6 48 60 46 34 40 22 00 B0 46 4E 46 80 46
+3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D
+A2 63 C4 1D 30 4D A6 45 82 2E 22 00 87 12 6C 46
+34 40 14 46 D6 48 2A 40 00 00 04 57 4F 52 44 00
+3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
+1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
+09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
+82 93 AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
+ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E
+CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
+0C 4E 65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93
+1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
+FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
+0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
+09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
+00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
+3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42 45 52 3C 4F
+38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00
+7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
+0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
+19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
+E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
+0C 43 1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43
+3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
+7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
+6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
+6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
+22 48 0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+AC 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
-30 4D 5A 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+30 4D 96 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
 00 4A 26 41 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E
-00 00 3E 4F 30 4D 54 48 87 4C 49 54 45 52 41 4C
+00 00 3E 4F 30 4D D4 48 87 4C 49 54 45 52 41 4C
 82 93 B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40
 34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
-00 02 F1 23 30 4D 1A 46 05 43 4F 55 4E 54 2F 83
+00 02 F1 23 30 4D 56 46 05 43 4F 55 4E 54 2F 83
 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D
-B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 74 46
-C2 48 3D 40 CE 48 05 23 3D 41 3E 4F 30 4D D0 48
-0A 4E 3E 4F 3D 40 E6 48 5A 27 3D 40 BC 48 1A E2
-B6 1D B9 27 B3 23 E8 48 3E 4F 3D 40 BC 48 C0 23
+B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 B0 46
+42 49 3D 40 4E 49 E3 22 3D 41 3E 4F 30 4D 50 49
+0A 4E 3E 4F 3D 40 66 49 3B 27 3D 40 3C 49 1A E2
+B6 1D B9 27 B3 23 68 49 3E 4F 3D 40 3C 49 C0 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-26 4B CD 3F 44 48 08 45 56 41 4C 55 41 54 45 00
+A6 4B CD 3F C4 48 08 45 56 41 4C 55 41 54 45 00
 39 40 BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40
-AC 48 24 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
+2C 49 A4 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
 3D 41 30 4D 4C 42 04 51 55 49 54 00 31 40 E0 1C
 B2 40 00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12
-2A 40 F4 45 03 0D 6F 6B D8 45 A0 45 5A 42 44 40
-64 42 6E 44 A0 45 AC 48 DE 40 92 41 F4 45 0D 73
-74 61 63 6B 20 65 6D 70 74 79 21 20 B6 49 34 40
-30 FF 98 43 D2 41 F4 45 0B 46 52 41 4D 20 66 75
-6C 6C 21 20 B6 49 86 42 F2 40 E0 41 52 49 F4 45
-03 0D 20 20 DC 41 58 49 66 44 05 41 42 4F 52 54
-3F 40 80 1C C3 3F 8F 93 02 00 BA 26 B2 40 82 48
-18 45 1B 42 34 20 0B 93 04 24 CB 43 02 00 2B 4B
-FA 3F B0 12 DA 4E A2 B3 DC 05 FD 27 B2 40 11 00
+2A 40 30 46 03 0D 6F 6B 14 46 DC 45 5A 42 44 40
+64 42 92 44 DC 45 2C 49 DE 40 92 41 30 46 0D 73
+74 61 63 6B 20 65 6D 70 74 79 21 20 36 4A 34 40
+30 FF C2 43 D2 41 30 46 0B 46 52 41 4D 20 66 75
+6C 6C 21 20 36 4A 86 42 F2 40 E0 41 D2 49 30 46
+03 0D 20 20 DC 41 D8 49 8A 44 05 41 42 4F 52 54
+3F 40 80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48
+54 45 1B 42 34 20 0B 93 04 24 CB 43 02 00 2B 4B
+FA 3F B0 12 C8 4F A2 B3 DC 05 FD 27 B2 40 11 00
 CE 05 E2 C2 23 02 92 C3 DC 05 38 40 A0 AA 39 42
 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23
-87 12 F4 45 04 1B 5B 37 6D 00 D8 45 D8 45 F4 45
-04 1B 5B 30 6D 00 D8 45 E8 4D 2A 4E 30 4E 78 4E
-12 46 B0 49 AA 49 86 41 42 4F 52 54 22 00 87 12
-30 46 34 40 B6 49 56 48 2A 40 CC 46 01 27 87 12
-90 42 74 46 D2 46 E0 41 4C 4A 2A 40 F0 48 96 42
+87 12 30 46 04 1B 5B 37 6D 00 14 46 14 46 30 46
+04 1B 5B 30 6D 00 14 46 A6 4E 04 4F 18 4F 66 4F
+4E 46 30 4A 2A 4A 86 41 42 4F 52 54 22 00 87 12
+6C 46 34 40 36 4A D6 48 2A 40 08 47 01 27 87 12
+90 42 B0 46 0E 47 E0 41 CC 4A 2A 40 70 49 96 42
 81 5C 92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43
-B6 1D 30 4D 50 4A 01 5D B2 43 B6 1D 30 4D 5C 4A
-83 5B 27 5D 87 12 3E 4A 34 40 34 40 56 48 56 48
-2A 40 BE 4F 02 00 3E 4F 30 4D 7C 45 82 49 53 00
-87 12 86 42 F2 40 E0 41 A4 4A 74 4A 34 40 82 4A
-56 48 2A 40 3E 4A 82 4A 2A 40 8C 4A 09 49 4D 4D
+B6 1D 30 4D D0 4A 01 5D B2 43 B6 1D 30 4D DC 4A
+83 5B 27 5D 87 12 BE 4A 34 40 34 40 D6 48 D6 48
+2A 40 BE 4F 02 00 3E 4F 30 4D B8 45 82 49 53 00
+87 12 86 42 F2 40 E0 41 24 4B F4 4A 34 40 02 4B
+D6 48 2A 40 BE 4A 02 4B 2A 40 0C 4B 09 49 4D 4D
 45 44 49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00
-30 4D 2C 46 87 52 45 43 55 52 53 45 19 42 C4 1D
-99 42 B2 1D 00 00 A2 53 C4 1D 30 4D 36 49 88 50
-4F 53 54 50 4F 4E 45 00 87 12 90 42 74 46 D2 46
-54 40 E0 41 4C 4A 92 41 E0 41 08 4B 34 40 34 40
-56 48 56 48 34 40 56 48 56 48 2A 40 82 9F B4 1D
-A0 24 87 12 F4 45 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 BC 49 87 12 50 46 90 42 74 46
-32 4B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D
+30 4D 68 46 87 52 45 43 55 52 53 45 19 42 C4 1D
+99 42 B2 1D 00 00 A2 53 C4 1D 30 4D B6 49 88 50
+4F 53 54 50 4F 4E 45 00 87 12 90 42 B0 46 0E 47
+54 40 E0 41 CC 4A 92 41 E0 41 88 4B 34 40 34 40
+D6 48 D6 48 34 40 D6 48 D6 48 2A 40 82 9F B4 1D
+A0 24 87 12 30 46 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 3C 4A 87 12 8C 46 90 42 B0 46
+B2 4B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D
 6E 4E 3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D
 82 4A B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41
-6E 46 08 56 41 52 49 41 42 4C 45 00 B0 12 28 4B
-BA 40 86 12 FC FF 71 3C 98 48 08 43 4F 4E 53 54
-41 4E 54 00 B0 12 28 4B BA 40 85 12 FC FF 8A 4E
-FE FF 3E 4F 62 3C 7A 4B 06 43 52 45 41 54 45 00
-B0 12 28 4B BA 40 85 12 FC FF 8A 4A FE FF 55 3C
-06 49 05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12
-00 00 8A 4D 02 00 3D 41 30 4D B2 4B 05 44 45 46
-45 52 B0 12 28 4B BA 40 30 40 FC FF BA 40 C8 4B
-FE FF 3B 3C 70 4A 01 3A B0 12 28 4B BA 40 87 12
+AA 46 08 56 41 52 49 41 42 4C 45 00 B0 12 A8 4B
+BA 40 86 12 FC FF 71 3C 18 49 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 A8 4B BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F 62 3C FA 4B 06 43 52 45 41 54 45 00
+B0 12 A8 4B BA 40 85 12 FC FF 8A 4A FE FF 55 3C
+86 49 05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 32 4C 05 44 45 46
+45 52 B0 12 A8 4B BA 40 30 40 FC FF BA 40 48 4C
+FE FF 3B 3C F0 4A 01 3A B0 12 A8 4B BA 40 87 12
 FC FF A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D
-E6 4B 81 3B 82 93 B6 1D 24 27 87 12 34 40 2A 40
-56 48 0C 4B 5E 4A 2A 40 1A 4C 3D 41 B2 4E 0E 18
-A2 4E 0C 18 3E 4F 32 3D 68 48 06 4D 41 52 4B 45
-52 00 B0 12 28 4B BA 40 84 12 FC FF BA 40 18 4C
+66 4C 81 3B 82 93 B6 1D 24 27 87 12 34 40 2A 40
+D6 48 8C 4B DE 4A 2A 40 9A 4C 3D 41 B2 4E 0E 18
+A2 4E 0C 18 3E 4F 69 3D E8 48 06 4D 41 52 4B 45
+52 00 B0 12 A8 4B BA 40 84 12 FC FF BA 40 98 4C
 FE FF 9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52
 C4 1D 18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48
-00 00 30 4D AC 4A 82 49 46 00 2F 83 8F 4E 00 00
+00 00 30 4D 2C 4B 82 49 46 00 2F 83 8F 4E 00 00
 1E 42 C4 1D A2 52 C4 1D BE 40 E0 41 00 00 2E 53
-30 4D CC 4B 84 45 4C 53 45 00 A2 52 C4 1D 1A 42
+30 4D 4C 4C 84 45 4C 53 45 00 A2 52 C4 1D 1A 42
 C4 1D BA 40 DC 41 FC FF 8E 4A 00 00 2A 83 0E 4A
-30 4D D2 45 84 54 48 45 4E 00 9E 42 C4 1D 00 00
-3E 4F 30 4D 98 4B 85 42 45 47 49 4E 30 40 98 43
-A4 4C 85 55 4E 54 49 4C 39 40 E0 41 A2 52 C4 1D
+30 4D 0E 46 84 54 48 45 4E 00 9E 42 C4 1D 00 00
+3E 4F 30 4D 18 4C 85 42 45 47 49 4E 30 40 C2 43
+24 4D 85 55 4E 54 49 4C 39 40 E0 41 A2 52 C4 1D
 1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D
-26 4A 85 41 47 41 49 4E 39 40 DC 41 EF 3F 62 4B
-85 57 48 49 4C 45 87 12 6A 4C 78 40 2A 40 C4 4A
-86 52 45 50 45 41 54 00 87 12 E8 4C AA 4C 2A 40
-84 4C 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D
+A6 4A 85 41 47 41 49 4E 39 40 DC 41 EF 3F E2 4B
+85 57 48 49 4C 45 87 12 EA 4C 78 40 2A 40 44 4B
+86 52 45 50 45 41 54 00 87 12 68 4D 2A 4D 2A 40
+04 4D 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D
 1E 42 C4 1D BE 40 F0 41 FE FF A2 53 00 1C 1A 42
-00 1C 8A 43 00 00 30 4D 2A 4C 84 4C 4F 4F 50 00
+00 1C 8A 43 00 00 30 4D AA 4C 84 4C 4F 4F 50 00
 39 40 12 42 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
 8A 4E FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93
-03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D F4 43 85 2B
-4C 4F 4F 50 39 40 00 42 E5 3F 3A 4D 85 4C 45 41
+03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D 1E 44 85 2B
+4C 4F 4F 50 39 40 00 42 E5 3F BA 4D 85 4C 45 41
 56 45 1A 42 C4 1D BA 40 22 42 00 00 BA 40 DC 41
 02 00 B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42
-00 1C 89 4A 00 00 30 4D 7C 4D 04 4D 4F 56 45 00
+00 1C 89 4A 00 00 30 4D FC 4D 04 4D 4F 56 45 00
 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24
 06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A
 09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-34 40 CA 1D FA 40 2A 40 84 12 E0 4D C2 53 A0 66
-D8 66 C2 66 80 53 6E 4D 8A 60 32 5B 9C 4E 76 66
-FE 65 FA 64 46 41 66 66 66 4A 46 5B 00 00 3A 40
-0E 00 39 40 CA 1D 38 40 CC 1D D9 3F 3A 40 0E 00
-39 40 CC 1D 38 40 CA 1D CC 3F 82 43 CC 1D 30 4D
-92 42 CA 1D C8 1D 30 4D 3A 4E 3A 4E 82 4A C6 1D
-2E 4E 82 4E C4 1D 3D 40 10 00 09 4A 08 49 29 83
-18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23
-2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D DE 4A 09 50
-57 52 5F 53 54 41 54 45 84 12 38 4E 7C 53 F0 66
-00 4D 09 52 53 54 5F 53 54 41 54 45 92 42 0E 18
-7C 4E 92 42 0C 18 7E 4E EF 3F 6E 4E 08 50 57 52
-5F 48 45 52 45 00 92 42 C6 1D 7C 4E 92 42 C4 1D
-7E 4E 30 4D 82 4E 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0E 18 92 42 C4 1D 0C 18 EC 3F B2 40
-6E 50 D0 50 B2 40 EC 44 E0 44 B2 40 46 45 56 45
-B2 40 82 45 96 45 B2 40 EC 43 FA 43 B2 40 7E 44
-70 44 82 43 3E 5A 82 43 4A 5A 82 43 56 5A 82 43
-86 5A 82 43 92 5A 82 43 9E 5A B2 40 0A 00 DA 1D
-30 41 F0 4C 04 57 49 50 45 00 39 40 80 FF B9 43
-00 00 29 53 39 90 B4 FF FA 23 B0 12 CE 4E B2 40
-F0 66 0C 18 B2 40 7C 53 0E 18 A8 3F B6 4C 07 43
-4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98
-FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 02 4C
-86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D 70 4F
-86 5B 45 4C 53 45 5D 00 87 12 34 40 01 00 90 42
-74 46 9E 48 44 40 E0 41 F6 4F 8A 40 8A 40 F4 45
-04 5B 49 46 5D 00 46 4F EA 41 B4 4F 32 47 2C 41
-DC 41 EA 4F 8A 40 8A 40 F4 45 06 5B 45 4C 53 45
-5D 00 46 4F EA 41 D8 4F 32 47 7A 4F 44 40 E0 41
-D4 4F 2C 41 DC 41 EA 4F F4 45 06 5B 54 48 45 4E
-5D 00 46 4F EA 41 EA 4F 7A 4F 54 40 EA 41 F2 4F
-2A 40 DC 41 8E 4F 32 47 F4 45 03 0D 6B 6F D8 45
-A0 45 5A 42 44 40 64 42 6E 44 0C 50 82 43 C2 1D
-82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 8E 4F 30 4D
-80 4F 84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D
-22 50 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
-90 42 74 46 D2 46 6C 40 86 41 2A 40 32 50 89 5B
-44 45 46 49 4E 45 44 5D 87 12 90 42 74 46 D2 46
-6C 40 2A 40 66 4C 06 28 57 41 52 4D 29 00 1E 42
-08 18 87 12 F4 45 05 0D 1B 5B 37 6D D8 45 82 43
-F4 45 27 20 46 61 73 74 46 6F 72 74 68 20 56 31
-36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
-2E 54 68 6F 6F 72 65 6E 73 20 D8 45 34 40 30 FF
-98 43 28 41 4E 43 F4 45 0B 62 79 74 65 73 20 66
-72 65 65 20 D2 49 14 4F 04 57 41 52 4D 00 30 40
-6E 50 3E 4F 04 43 4F 4C 44 00 B2 40 04 A5 20 01
+70 4D 0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12
+20 4C 34 40 10 00 34 40 00 00 F0 41 34 40 00 00
+D6 48 12 42 7C 4E C2 43 34 40 C6 1D 44 40 F2 40
+D6 48 FA 40 38 4C 34 40 CA 1D FA 40 2A 40 BC 4A
+05 46 4F 52 54 48 84 12 96 4E C4 54 A8 5F 14 69
+DE 68 98 67 EE 4D C6 66 FE 65 8A 4F 22 69 D4 66
+1C 66 46 41 88 67 E6 4A 12 66 00 00 62 4D 04 41
+4C 53 4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D
+B6 3F 5E 4B 08 50 52 45 56 49 4F 55 53 00 3A 40
+0E 00 39 40 CC 1D 38 40 CA 1D A3 3F 98 46 04 4F
+4E 4C 59 00 82 43 CC 1D 30 4D 92 4D 0B 44 45 46
+49 4E 49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D
+A6 4E 04 4F 18 4F 28 4F 3A 4E 82 4A C6 1D 2E 4E
+82 4E C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48
+FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
+0A 93 F0 23 3E 4F 3D 41 30 4D E4 4E 09 50 57 52
+5F 53 54 41 54 45 84 12 20 4F 7E 54 46 69 80 4D
+09 52 53 54 5F 53 54 41 54 45 92 42 0E 18 6A 4F
+92 42 0C 18 6C 4F EF 3F 5C 4F 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 1D 6A 4F 92 42 C4 1D 6C 4F
+30 4D 70 4F 08 52 53 54 5F 48 45 52 45 00 92 42
+C6 1D 0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 5C 51
+C0 51 B2 40 10 45 04 45 B2 40 82 45 92 45 B2 40
+BE 45 D2 45 B2 40 16 44 24 44 B2 40 A2 44 94 44
+82 43 40 5B 82 43 4C 5B 82 43 58 5B 82 43 88 5B
+82 43 94 5B 82 43 A0 5B B2 40 0A 00 DA 1D 30 41
+62 4E 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
+29 53 39 90 B4 FF FA 23 B0 12 BC 4F B2 40 46 69
+0C 18 B2 40 7E 54 0E 18 A8 3F 36 4D 07 43 4F 4D
+50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
+F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 82 4C 86 5B
+54 48 45 4E 5D 00 30 4D 1E 83 30 4D 5E 50 86 5B
+45 4C 53 45 5D 00 87 12 34 40 01 00 90 42 B0 46
+1E 49 44 40 E0 41 E4 50 8A 40 8A 40 30 46 04 5B
+49 46 5D 00 34 50 EA 41 A2 50 6E 47 2C 41 DC 41
+D8 50 8A 40 8A 40 30 46 06 5B 45 4C 53 45 5D 00
+34 50 EA 41 C6 50 6E 47 68 50 44 40 E0 41 C2 50
+2C 41 DC 41 D8 50 30 46 06 5B 54 48 45 4E 5D 00
+34 50 EA 41 D8 50 68 50 54 40 EA 41 E0 50 2A 40
+DC 41 7C 50 6E 47 30 46 03 0D 6B 6F 14 46 DC 45
+5A 42 44 40 64 42 92 44 FA 50 82 43 C2 1D 82 4E
+BE 1D B2 4F C0 1D 3E 4F 3D 40 7C 50 30 4D 6E 50
+84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 10 51
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 90 42
+B0 46 0E 47 6C 40 86 41 2A 40 20 51 89 5B 44 45
+46 49 4E 45 44 5D 87 12 90 42 B0 46 0E 47 6C 40
+2A 40 E6 4C 06 28 57 41 52 4D 29 00 1E 42 08 18
+87 12 30 46 06 0D 1B 5B 37 6D 23 00 14 46 AC 43
+30 46 27 20 46 61 73 74 46 6F 72 74 68 20 56 32
+2E 30 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
+2E 54 68 6F 6F 72 65 6E 73 20 14 46 34 40 30 FF
+C2 43 28 41 78 43 30 46 0B 62 79 74 65 73 20 66
+72 65 65 20 52 4A 02 50 04 57 41 52 4D 00 30 40
+5C 51 2C 50 04 43 4F 4C 44 00 B2 40 04 A5 20 01
 B2 40 88 5A 5C 01 B2 D0 03 00 04 02 B2 40 FC FF
 02 02 B2 C0 03 00 06 02 B2 D0 00 04 24 02 B2 D3
 26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02 B2 43
@@ -293,7 +308,7 @@ A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
 80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
 08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03
-F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 E0 50
+F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 D0 51
 39 40 B4 FF 89 4A 00 00 29 53 FC 23 92 42 02 18
 F0 FF B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C
 37 40 00 40 36 40 BC 40 35 40 08 40 34 40 14 40
@@ -301,346 +316,369 @@ B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42
 08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
 B2 40 81 00 C0 05 B2 42 C6 05 B2 40 A1 F7 C8 05
 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05 3D 40
-08 52 18 42 08 18 38 90 0A 00 8F 26 38 90 16 00
-8C 2E 28 93 43 22 38 26 0A 52 E2 B2 60 02 5F 23
+F8 52 18 42 08 18 38 90 0A 00 8E 26 38 90 16 00
+8B 2E 28 93 42 22 37 26 FA 52 E2 B2 60 02 5F 23
 B2 40 81 A9 40 06 B2 40 30 00 46 06 D2 D3 25 02
 B2 D0 C0 04 0C 02 B2 C0 C0 04 06 02 92 C3 40 06
-39 40 00 20 89 43 00 00 29 53 39 90 54 21 FA 23
-39 42 B0 12 34 5D D2 C3 23 02 2C 42 B2 40 95 00
-14 20 B2 40 00 40 18 20 1A 43 B0 12 F8 5C 02 24
-30 40 D2 5D B0 12 32 5D 7A 93 FC 23 B2 40 87 AA
+39 40 00 20 89 43 00 00 29 53 39 90 66 21 FA 23
+39 42 B0 12 4E 5C D2 C3 23 02 2C 42 B2 40 95 00
+14 20 B2 40 00 40 18 20 1A 43 B0 12 12 5C 02 24
+30 40 EC 5C B0 12 4C 5C 7A 93 FC 23 B2 40 87 AA
 14 20 92 43 16 20 B2 40 00 48 18 20 1A 43 B0 12
-F8 5C 29 42 B0 12 34 5D 92 43 14 20 82 43 16 20
-78 43 3C 42 B2 40 00 77 18 20 1A 43 B0 12 F8 5C
-B2 40 40 69 18 20 B0 12 EE 5C 03 24 58 83 F2 23
+12 5C 29 42 B0 12 4E 5C 92 43 14 20 82 43 16 20
+78 43 3C 42 B2 40 00 77 18 20 1A 43 B0 12 12 5C
+B2 40 40 69 18 20 B0 12 08 5C 03 24 58 83 F2 23
 D7 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20 B0 12
-EE 5C CE 23 92 D3 40 06 82 43 46 06 92 C3 40 06
-B0 12 5A 5D 38 40 00 1E 92 48 C6 01 04 20 92 48
+08 5C CE 23 92 D3 40 06 82 43 46 06 92 C3 40 06
+B0 12 74 5C 38 40 00 1E 92 48 C6 01 04 20 92 48
 C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80 06 00
 0D 24 7A 82 0B 24 A2 43 02 20 6A 53 07 24 5A 53
-05 24 3A 50 0B 20 0C 4A 30 40 D8 5D B0 12 5A 5D
+05 24 3A 50 0B 20 0C 4A 30 40 F2 5C B0 12 74 5C
 D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20 1A 48
 16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20 09 5A
 82 49 0C 20 09 5A A2 93 02 20 04 24 82 49 0E 20
 39 50 20 00 19 82 12 20 19 82 12 20 82 49 10 20
-92 42 02 20 2C 20 BB 3E 84 12 E0 4D A2 59 4E 5A
-56 59 A2 5A 1C 59 D6 59 20 56 00 00 12 59 C2 59
-74 59 B2 59 30 57 00 00 00 00 B4 5A 0C 4E 66 50
-85 48 49 32 4C 4F 87 12 98 43 7E 4C 56 48 5E 4A
-0E 4E 58 53 2A 40 D4 50 04 43 4F 44 45 00 B0 12
-28 4B A2 82 C4 1D 87 12 FA 4B DC 41 90 53 00 00
-07 45 4E 44 43 4F 44 45 87 12 1C 4E 0C 4B 2A 40
-E2 4C 03 41 53 4D 92 42 C8 1D B8 1D B2 40 5C 53
-C8 1D E5 3F B0 53 06 45 4E 44 41 53 4D 00 92 42
-B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
-C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D
-30 40 1C 4E 00 00 05 4C 4F 32 48 49 1A 42 C4 1D
-BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52 C4 1D
-ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D
-09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
-C2 1D 30 4D B0 12 2A 40 74 46 D2 46 EA 41 58 54
-8E 47 E0 41 4C 4A 7A 54 5A 54 29 4E 39 90 86 12
-02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
-30 41 39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D
-A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D
-92 53 C2 1D B0 12 2A 40 74 46 8E 47 E0 41 AC 54
-A2 54 21 53 3E 90 10 00 BB 2D 30 41 AE 54 B2 41
-C2 1D 22 D3 30 41 87 12 90 42 22 54 BE 54 82 43
-BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F
-FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12 44 54
-0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20
-B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03
-BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C
-3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20
-B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42
-C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D
-FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53
-C2 1D 30 12 2E 55 76 3F FA 90 40 00 00 00 1A 20
-B2 40 20 00 BC 1D 92 53 C2 1D B0 12 8C 54 0E 20
-B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 8C 54 32 24
-92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E
-BC 1D D3 3F B0 12 8C 54 F9 23 B2 50 10 00 BC 1D
-3E 40 28 00 B0 12 44 54 30 12 7E 55 67 3F 87 12
-90 42 22 54 B6 55 FE 90 26 00 00 00 3E 40 20 00
-04 20 B2 50 82 00 BC 1D C2 3F B0 12 8C 54 DF 23
-B2 50 80 00 BC 1D 3E 40 28 00 B0 12 44 54 B0 12
-7C 54 D5 23 3D 40 4C 4A 30 4D D8 44 04 52 45 54
-49 00 87 12 34 40 00 13 56 48 2A 40 34 40 2C 00
-B6 54 AE 55 06 56 2E 4E 1E D2 BC 1D 19 42 BA 1D
-92 3F 06 54 03 4D 4F 56 84 12 FC 55 00 40 14 56
-05 4D 4F 56 2E 42 84 12 FC 55 40 40 00 00 03 41
-44 44 84 12 FC 55 00 50 2E 56 05 41 44 44 2E 42
-84 12 FC 55 40 50 3A 56 04 41 44 44 43 00 84 12
-FC 55 00 60 48 56 06 41 44 44 43 2E 42 00 84 12
-FC 55 40 60 EC 55 04 53 55 42 43 00 84 12 FC 55
-00 70 66 56 06 53 55 42 43 2E 42 00 84 12 FC 55
-40 70 74 56 03 53 55 42 84 12 FC 55 00 80 84 56
-05 53 55 42 2E 42 84 12 FC 55 40 80 E8 53 03 43
-4D 50 84 12 FC 55 00 90 9E 56 05 43 4D 50 2E 42
-84 12 FC 55 40 90 D6 53 04 44 41 44 44 00 84 12
-FC 55 00 A0 B8 56 06 44 41 44 44 2E 42 00 84 12
-FC 55 40 A0 AA 56 03 42 49 54 84 12 FC 55 00 B0
-D6 56 05 42 49 54 2E 42 84 12 FC 55 40 B0 E2 56
-03 42 49 43 84 12 FC 55 00 C0 F0 56 05 42 49 43
-2E 42 84 12 FC 55 40 C0 FC 56 03 42 49 53 84 12
-FC 55 00 D0 0A 57 05 42 49 53 2E 42 84 12 FC 55
-40 D0 00 00 03 58 4F 52 84 12 FC 55 00 E0 24 57
-05 58 4F 52 2E 42 84 12 FC 55 40 E0 56 56 03 41
-4E 44 84 12 FC 55 00 F0 3E 57 05 41 4E 44 2E 42
-84 12 FC 55 40 F0 90 42 B6 54 5C 57 1A 42 BC 1D
-B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D
-4A 3F 90 56 03 52 52 43 84 12 56 57 00 10 74 57
-05 52 52 43 2E 42 84 12 56 57 40 10 80 57 04 53
-57 50 42 00 84 12 56 57 80 10 8E 57 03 52 52 41
-84 12 56 57 00 11 9C 57 05 52 52 41 2E 42 84 12
-56 57 40 11 A8 57 03 53 58 54 84 12 56 57 80 11
-00 00 04 50 55 53 48 00 84 12 56 57 00 12 C2 57
-06 50 55 53 48 2E 42 00 84 12 56 57 40 12 16 57
-04 43 41 4C 4C 00 84 12 56 57 80 12 34 40 2C 00
-B6 54 AE 55 F6 57 59 42 BC 1D 5A 42 BD 1D 82 4A
-BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
-0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
-87 12 82 43 F4 45 0D 6F 75 74 20 6F 66 20 62 6F
-75 6E 64 73 BC 49 D0 57 05 50 55 53 48 4D 84 12
-EC 57 00 15 38 58 04 50 4F 50 4D 00 84 12 EC 57
-00 17 90 42 22 54 58 58 82 43 BC 1D 92 42 C4 1D
-BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12
-2A 40 74 46 8E 47 E0 41 4C 4A AE 55 7E 58 0A 4E
-3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F B6 57
-04 52 52 43 4D 00 84 12 52 58 50 00 90 58 04 52
-52 41 4D 00 84 12 52 58 50 01 9E 58 04 52 4C 41
-4D 00 84 12 52 58 50 02 AC 58 04 52 52 55 4D 00
-84 12 52 58 50 03 85 12 00 3C BA 58 03 53 3E 3D
-85 12 00 38 CC 58 02 53 3C 00 85 12 00 34 46 58
-03 30 3E 3D 85 12 00 30 E0 58 02 30 3C 00 85 12
-00 30 00 00 02 55 3C 00 85 12 00 2C F4 58 03 55
-3E 3D 85 12 00 28 EA 58 03 30 3C 3E 85 12 00 24
-08 59 02 30 3D 00 85 12 00 20 E4 44 02 49 46 00
-1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D
-FE 58 04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F
-09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
-00 00 30 4D C6 56 04 45 4C 53 45 00 1A 42 C4 1D
-BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00
-E3 3F 32 59 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
-19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
-3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D
-4A 57 05 41 47 41 49 4E 87 12 C6 58 7A 59 2A 40
-00 00 05 57 48 49 4C 45 87 12 20 59 78 40 2A 40
-D6 58 06 52 45 50 45 41 54 00 87 12 C6 58 7A 59
-38 59 2A 40 00 00 03 4A 4D 50 87 12 3E 4A C6 58
-7A 59 2A 40 3E B0 00 10 03 20 3E E0 00 04 30 4D
-3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
-00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 E4 59
-3E 4A 78 40 7A 59 2A 40 1A 5A 3D 41 08 4E 3E 4F
-2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43
-00 00 A4 3F E0 57 03 42 57 31 84 12 18 5A 00 00
-36 5A 03 42 57 32 84 12 18 5A 00 00 42 5A 03 42
-57 33 84 12 18 5A 00 00 5A 5A 3D 41 1A 42 C4 1D
-28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A
-00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
-57 31 84 12 58 5A 00 00 7E 5A 03 46 57 32 84 12
-58 5A 00 00 8A 5A 03 46 57 33 84 12 58 5A 00 00
-96 5A 04 47 4F 54 4F 00 87 12 C6 58 3E 4A 4C 48
-2A 40 06 5A 05 3F 47 4F 54 4F 87 12 E4 59 3E 4A
-4C 48 2A 40 4E 50 09 7B 55 54 49 4C 49 54 59 7D
-30 4D 5C 46 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
-8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
-06 00 2E 8F 0E 11 87 12 34 40 3C 00 54 45 82 43
-34 40 08 00 54 45 34 40 3E 00 54 45 A0 45 8A 40
-8A 40 C4 41 EA 41 1E 5B 62 40 62 40 2A 40 F0 41
-28 42 F2 40 4E 43 34 40 02 00 00 42 20 5B 2A 40
-D4 5A 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
-E0 1C D3 3F 3A 47 01 3F 2E 4E 30 40 4E 43 C8 50
-05 57 4F 52 44 53 87 12 94 45 34 40 03 00 B6 45
-34 40 CA 1D F2 40 50 42 34 40 10 00 44 40 20 41
-B0 4D 34 40 00 00 44 40 34 40 10 00 44 40 20 41
-34 40 00 00 F0 41 44 40 28 42 50 42 20 41 F2 40
-D2 41 E0 41 A4 5B 62 40 62 40 28 42 44 40 50 42
-20 41 F2 40 34 40 02 00 00 42 86 5B 54 40 E0 41
-E6 5B 44 40 34 40 02 00 28 41 F2 40 9A 40 50 42
-20 41 FA 40 44 40 9E 48 34 40 7F 00 36 41 D8 45
-08 41 34 40 0F 00 36 41 34 40 10 00 78 40 28 41
-B6 45 DC 41 72 5B 62 40 2A 40 AA 4D 03 4D 41 58
-2E 9F 07 38 2F 53 30 4D EC 5B 03 4D 49 4E 2E 9F
-F9 3B 3E 4F 30 4D C2 4C 03 55 2E 52 87 12 B0 40
-9A 42 34 40 00 00 A6 42 FE 42 18 43 BC 40 8A 40
-28 41 34 40 00 00 F0 5B B6 45 D8 45 2A 40 12 4D
-04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00
-DA 1D 2E 5F BF F0 F0 FF 00 00 B0 12 2A 40 78 40
-F0 41 94 45 28 42 34 40 07 00 0C 5C A0 45 28 42
-34 40 10 00 20 41 28 42 F0 41 28 42 08 41 34 40
-03 00 0C 5C 12 42 6A 5C A0 45 A0 45 28 42 34 40
-10 00 20 41 28 42 F0 41 28 42 08 41 34 40 7E 00
-FE 5B 90 42 F0 5B 54 45 12 42 88 5C 34 40 10 00
-00 42 52 5C BC 40 7A 42 FA 40 2A 40 D2 C3 23 02
-E2 B2 60 02 02 24 30 40 DA 50 1A 52 04 20 19 62
-06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A 49 69
-82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20 8A 10
-C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20 B0 12
-32 5D 7A 93 FC 23 0A 43 39 40 05 00 D2 49 14 20
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06 82 93
-46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06 F3 23
-30 41 19 43 3A 43 8A 10 C2 4A 4E 06 82 93 46 06
-05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83 F3 23
-5A 42 4C 06 30 41 1A 52 08 20 09 43 1C D3 F2 40
-51 00 19 20 B0 12 AC 5C 34 20 B0 12 32 5D 7A 90
-FE FF 04 24 FA 23 D9 42 4C 06 FF 1D F2 43 4E 06
-03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06 3C C0
-03 00 D2 D3 23 02 30 41 09 43 2C D3 F0 40 58 00
-79 C2 B0 12 AC 5C 15 20 3A 40 FE FF 29 43 B0 12
-36 5D D2 49 00 1E 4E 06 03 43 19 53 39 90 00 02
-F8 23 39 40 03 00 B0 12 34 5D 7A C0 E1 00 6A 92
-DE 27 8C 10 1C 52 4C 06 D2 D3 23 02 87 12 F4 45
-0B 3C 20 53 44 20 45 72 72 6F 72 21 EE 5D 2F 82
-8F 4E 02 00 9F 42 DA 1D 00 00 B2 40 10 00 DA 1D
-0E 4C B0 12 2A 40 4E 43 7A 42 FA 40 BC 49 C6 5A
-09 7B 53 44 5F 4C 4F 41 44 7D 30 4D 39 4F 18 42
-C4 1D 4A 4E 0E 48 C8 4A 00 00 18 53 30 40 C2 4D
-92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42 23 20
-58 42 22 20 A2 93 02 20 08 20 59 42 24 20 89 10
-0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41 82 43
-1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20 92 93
-22 20 14 24 92 42 22 20 D0 04 92 42 24 20 D2 04
-92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42 E6 04
-1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41 92 4B
-0E 00 22 20 92 4B 10 00 24 20 B0 12 5E 5E 5A 4B
-03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93 07 24
-F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23 30 41
-1B 42 34 20 82 43 1E 20 B2 90 00 02 20 20 96 20
-BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00 DB 92
-12 20 03 00 11 28 CB 43 03 00 B0 12 30 5E B0 12
-56 5D 8B 43 10 00 9B 48 00 1E 0E 00 92 93 02 20
-03 24 9B 48 02 1E 10 00 B2 40 00 02 20 20 8B 93
-14 00 0B 20 92 9B 12 00 1E 20 70 2C BB 90 00 02
-12 00 03 2C 92 4B 12 00 20 20 B0 12 9E 5E 1A 42
-1A 20 19 42 1C 20 0A 3F 3C 42 3B 40 40 20 09 43
-CB 93 02 00 10 24 9B 92 24 20 0C 00 04 20 9B 92
-22 20 0A 00 07 24 09 4B 3B 50 18 00 3B 90 00 21
-EF 23 0C 5C 30 41 0C 43 82 4B 34 20 8B 49 00 00
-4A 93 07 34 49 93 05 24 C9 93 02 00 02 34 5A 59
+92 42 02 20 2C 20 B2 40 00 21 00 21 B8 3E CE 4E
+09 41 53 53 45 4D 42 4C 45 52 84 12 96 4E A4 5A
+50 5B 58 5A A4 5B 1E 5A D8 5A 22 57 00 00 14 5A
+C4 5A 76 5A B4 5A 32 58 00 00 00 00 B6 5B CA 4E
+54 51 85 48 49 32 4C 4F 87 12 C2 43 FE 4C D6 48
+DE 4A D4 4E 5A 54 2A 40 C4 51 04 43 4F 44 45 00
+B0 12 A8 4B A2 82 C4 1D 87 12 7A 4C DC 41 92 54
+00 00 07 45 4E 44 43 4F 44 45 87 12 EE 4E 8C 4B
+2A 40 50 54 03 41 53 4D 92 42 C8 1D B8 1D B2 40
+5E 54 C8 1D E5 3F B2 54 06 45 4E 44 41 53 4D 00
+92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E
+1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43
+B6 1D 30 40 EE 4E A4 42 05 4C 4F 32 48 49 1A 42
+C4 1D BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52
+C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52
+C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
+82 4A C2 1D 30 4D B0 12 2A 40 B0 46 0E 47 EA 41
+5A 55 D0 47 E0 41 CC 4A 7C 55 5C 55 29 4E 39 90
+86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
+02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
+C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12
+C2 1D 92 53 C2 1D B0 12 2A 40 B0 46 D0 47 E0 41
+AE 55 A4 55 21 53 3E 90 10 00 BB 2D 30 41 B0 55
+B2 41 C2 1D 22 D3 30 41 87 12 90 42 24 55 C0 55
+82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E
+3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12
+46 55 0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93
+04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40
+20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D
+15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93
+04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D
+19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41
+30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D
+92 53 C2 1D 30 12 30 56 76 3F FA 90 40 00 00 00
+1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12 8E 55
+0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 8E 55
+32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10
+82 5E BC 1D D3 3F B0 12 8E 55 F9 23 B2 50 10 00
+BC 1D 3E 40 28 00 B0 12 46 55 30 12 80 56 67 3F
+87 12 90 42 24 55 B8 56 FE 90 26 00 00 00 3E 40
+20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12 8E 55
+DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12 46 55
+B0 12 7E 55 D5 23 3D 40 CC 4A 30 4D FC 44 04 52
+45 54 49 00 87 12 34 40 00 13 D6 48 2A 40 34 40
+2C 00 B8 55 B0 56 08 57 2E 4E 1E D2 BC 1D 19 42
+BA 1D 92 3F 08 55 03 4D 4F 56 84 12 FE 56 00 40
+16 57 05 4D 4F 56 2E 42 84 12 FE 56 40 40 00 00
+03 41 44 44 84 12 FE 56 00 50 30 57 05 41 44 44
+2E 42 84 12 FE 56 40 50 3C 57 04 41 44 44 43 00
+84 12 FE 56 00 60 4A 57 06 41 44 44 43 2E 42 00
+84 12 FE 56 40 60 EE 56 04 53 55 42 43 00 84 12
+FE 56 00 70 68 57 06 53 55 42 43 2E 42 00 84 12
+FE 56 40 70 76 57 03 53 55 42 84 12 FE 56 00 80
+86 57 05 53 55 42 2E 42 84 12 FE 56 40 80 EA 54
+03 43 4D 50 84 12 FE 56 00 90 A0 57 05 43 4D 50
+2E 42 84 12 FE 56 40 90 D8 54 04 44 41 44 44 00
+84 12 FE 56 00 A0 BA 57 06 44 41 44 44 2E 42 00
+84 12 FE 56 40 A0 AC 57 03 42 49 54 84 12 FE 56
+00 B0 D8 57 05 42 49 54 2E 42 84 12 FE 56 40 B0
+E4 57 03 42 49 43 84 12 FE 56 00 C0 F2 57 05 42
+49 43 2E 42 84 12 FE 56 40 C0 FE 57 03 42 49 53
+84 12 FE 56 00 D0 0C 58 05 42 49 53 2E 42 84 12
+FE 56 40 D0 00 00 03 58 4F 52 84 12 FE 56 00 E0
+26 58 05 58 4F 52 2E 42 84 12 FE 56 40 E0 58 57
+03 41 4E 44 84 12 FE 56 00 F0 40 58 05 41 4E 44
+2E 42 84 12 FE 56 40 F0 90 42 B8 55 5E 58 1A 42
+BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA
+BC 1D 4A 3F 92 57 03 52 52 43 84 12 58 58 00 10
+76 58 05 52 52 43 2E 42 84 12 58 58 40 10 82 58
+04 53 57 50 42 00 84 12 58 58 80 10 90 58 03 52
+52 41 84 12 58 58 00 11 9E 58 05 52 52 41 2E 42
+84 12 58 58 40 11 AA 58 03 53 58 54 84 12 58 58
+80 11 00 00 04 50 55 53 48 00 84 12 58 58 00 12
+C4 58 06 50 55 53 48 2E 42 00 84 12 58 58 40 12
+18 58 04 43 41 4C 4C 00 84 12 58 58 80 12 34 40
+2C 00 B8 55 B0 56 F8 58 59 42 BC 1D 5A 42 BD 1D
+82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C
+09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
+0E 4A 87 12 AC 43 30 46 0D 6F 75 74 20 6F 66 20
+62 6F 75 6E 64 73 3C 4A D2 58 05 50 55 53 48 4D
+84 12 EE 58 00 15 3A 59 04 50 4F 50 4D 00 84 12
+EE 58 00 17 90 42 24 55 5A 59 82 43 BC 1D 92 42
+C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00
+B0 12 2A 40 B0 46 D0 47 E0 41 CC 4A B0 56 80 59
+0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
+B8 58 04 52 52 43 4D 00 84 12 54 59 50 00 92 59
+04 52 52 41 4D 00 84 12 54 59 50 01 A0 59 04 52
+4C 41 4D 00 84 12 54 59 50 02 AE 59 04 52 52 55
+4D 00 84 12 54 59 50 03 85 12 00 3C BC 59 03 53
+3E 3D 85 12 00 38 CE 59 02 53 3C 00 85 12 00 34
+48 59 03 30 3E 3D 85 12 00 30 E2 59 02 30 3C 00
+85 12 00 30 00 00 02 55 3C 00 85 12 00 2C F6 59
+03 55 3E 3D 85 12 00 28 EC 59 03 30 3C 3E 85 12
+00 24 0A 5A 02 30 3D 00 85 12 00 20 08 45 02 49
+46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A
+30 4D 00 5A 04 54 48 45 4E 00 1A 42 C4 1D 08 4E
+3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
+88 DA 00 00 30 4D C8 57 04 45 4C 53 45 00 1A 42
+C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A
+00 00 E3 3F 34 5A 05 55 4E 54 49 4C 3A 4F 08 4E
+3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE
+47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D
+30 4D 4C 58 05 41 47 41 49 4E 87 12 C8 59 7C 5A
+2A 40 00 00 05 57 48 49 4C 45 87 12 22 5A 78 40
+2A 40 D8 59 06 52 45 50 45 41 54 00 87 12 C8 59
+7C 5A 3A 5A 2A 40 00 00 03 4A 4D 50 87 12 BE 4A
+C8 59 7C 5A 2A 40 3E B0 00 10 03 20 3E E0 00 04
+30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
+3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
+E6 5A BE 4A 78 40 7C 5A 2A 40 1C 5B 3D 41 08 4E
+3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D
+88 43 00 00 A4 3F E2 58 03 42 57 31 84 12 1A 5B
+00 00 38 5B 03 42 57 32 84 12 1A 5B 00 00 44 5B
+03 42 57 33 84 12 1A 5B 00 00 5C 5B 3D 41 1A 42
+C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D
+8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
+03 46 57 31 84 12 5A 5B 00 00 80 5B 03 46 57 32
+84 12 5A 5B 00 00 8C 5B 03 46 57 33 84 12 5A 5B
+00 00 98 5B 04 47 4F 54 4F 00 87 12 C8 59 BE 4A
+CC 48 2A 40 08 5B 05 3F 47 4F 54 4F 87 12 E6 5A
+BE 4A CC 48 2A 40 D2 C3 23 02 E2 B2 60 02 02 24
+30 40 CA 51 1A 52 04 20 19 62 06 20 92 43 14 20
+A2 93 02 20 07 24 0A 5A 49 69 82 4A 16 20 C2 49
+18 20 0A 3C C2 4A 15 20 8A 10 C2 4A 16 20 C2 49
+17 20 89 10 C2 49 18 20 B0 12 4C 5C 7A 93 FC 23
+0A 43 39 40 05 00 D2 49 14 20 4E 06 82 93 46 06
+05 24 92 B3 6C 06 FD 27 C2 93 4C 06 59 83 F3 2F
+19 83 0B 30 F2 43 4E 06 82 93 46 06 03 24 92 B3
+6C 06 FD 27 5A 92 4C 06 F3 23 30 41 19 43 3A 43
+8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3 6C 06
+FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06 30 41
+1A 52 08 20 09 43 1C D3 F2 40 51 00 19 20 B0 12
+C6 5B 34 20 B0 12 4C 5C 7A 90 FE FF 04 24 FA 23
+D9 42 4C 06 FF 1D F2 43 4E 06 03 43 19 53 39 90
+01 02 F6 23 F2 43 4E 06 3C C0 03 00 D2 D3 23 02
+30 41 09 43 2C D3 F0 40 58 00 5F C3 B0 12 C6 5B
+15 20 3A 40 FE FF 29 43 B0 12 50 5C D2 49 00 1E
+4E 06 03 43 19 53 39 90 00 02 F8 23 39 40 03 00
+B0 12 4E 5C 7A C0 E1 00 6A 92 DE 27 8C 10 1C 52
+4C 06 D2 D3 23 02 87 12 30 46 0B 3C 20 53 44 20
+45 72 72 6F 72 21 08 5D 2F 82 8F 4E 02 00 9F 42
+DA 1D 00 00 B2 40 10 00 DA 1D 0E 4C B0 12 2A 40
+78 43 7A 42 FA 40 3C 4A 4A 4E 39 4F 18 42 C4 1D
+0E 48 19 83 1A 53 30 40 42 4E 92 4B 0E 00 22 20
+92 4B 10 00 24 20 5A 42 23 20 58 42 22 20 92 93
+02 20 08 24 59 42 24 20 89 10 0A 59 88 10 08 58
+0A 6A 88 10 08 58 30 41 82 43 1C 20 92 42 0E 20
+1A 20 C2 93 24 20 03 20 92 93 22 20 14 24 92 42
+22 20 D0 04 92 42 24 20 D2 04 92 42 12 20 C8 04
+92 42 E4 04 1A 20 92 42 E6 04 1C 20 92 52 10 20
+1A 20 82 63 1C 20 30 41 92 4B 0E 00 22 20 92 4B
+10 00 24 20 B0 12 68 5D 5A 4B 03 00 82 5A 1A 20
+82 63 1C 20 30 41 09 93 07 24 F8 90 20 00 00 1E
+03 20 18 53 19 83 F9 23 30 41 1B 42 34 20 82 43
+1E 20 B2 90 00 02 20 20 9C 20 BB 80 00 02 12 00
+8B 73 14 00 DB 53 03 00 DB 92 12 20 03 00 11 28
+CB 43 03 00 B0 12 3A 5D B0 12 70 5C 8B 43 10 00
+9B 48 00 1E 0E 00 92 93 02 20 03 24 9B 48 02 1E
+10 00 B2 40 00 02 20 20 8B 93 14 00 0B 20 92 9B
+12 00 1E 20 76 2C BB 90 00 02 12 00 03 2C 92 4B
+12 00 20 20 B0 12 A8 5D 1A 42 1A 20 19 42 1C 20
+12 3F 3C 42 3B 40 40 20 09 43 CB 93 02 00 10 24
+9B 92 24 20 0C 00 04 20 9B 92 22 20 0A 00 07 24
+09 4B 3B 50 18 00 3B 90 00 21 EF 23 0C 5C 30 41
+0C 43 82 4B 34 20 8B 49 00 00 49 93 0A 24 99 52
+C2 1D 16 00 4A 93 05 34 C9 93 02 00 02 34 5A 59
 02 00 CB 4A 02 00 CB 43 03 00 9B 42 1A 20 04 00
 9B 42 1C 20 06 00 18 42 30 20 8B 48 08 00 9B 48
 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E 0E 00
 9B 48 14 1E 10 00 9B 48 1C 1E 12 00 9B 48 1E 1E
-14 00 82 43 1E 20 6A 93 62 27 CC 37 8B 43 16 00
-7A 93 05 24 99 37 99 52 C2 1D 16 00 95 3F 19 42
-C2 1D 1A 42 BE 1D 0A 89 82 4A 36 20 19 52 C0 1D
-82 49 38 20 B2 40 FC 43 70 44 86 3F 1B 42 34 20
-82 43 20 20 0B 93 AE 27 EB 93 02 00 04 20 B0 12
-7C 64 B0 12 44 64 5A 4B 02 00 CB 43 02 00 2B 4B
-82 4B 34 20 5A 53 05 24 9D 37 92 4B 16 00 1E 20
-6B 3F 1E 42 36 20 9F 42 38 20 02 00 B2 40 7E 44
-70 44 30 41 B6 4E 85 52 45 41 44 22 5A 43 19 3C
-50 5B 86 57 52 49 54 45 22 00 6A 43 12 3C 30 5C
-84 44 45 4C 22 00 6A 42 0C 3C 98 53 05 43 4C 4F
-53 45 B0 12 0C 60 30 4D FA 5B 85 4C 4F 41 44 22
-7A 43 2F 83 8F 4E 00 00 0E 4A 82 93 B6 1D 0E 24
-87 12 34 40 34 40 56 48 56 48 12 46 30 46 34 40
-1C 5E 56 48 34 40 C8 60 56 48 2A 40 87 12 34 40
-22 00 74 46 C6 60 3D 41 78 4E 08 5E C8 43 00 00
-1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20 CE 93
-00 00 91 24 FE 90 3A 00 01 00 01 20 2E 53 FE 90
-5C 00 00 00 09 20 1E 53 92 42 02 20 22 20 82 43
-24 20 CE 93 00 00 73 24 82 4E 32 20 B0 12 5E 5E
-34 40 20 00 A2 93 02 20 04 24 92 92 22 20 02 20
-02 24 14 42 12 20 B0 12 3E 5F 2C 43 0A 43 08 4A
-58 0E 08 58 82 48 30 20 C8 93 00 1E 64 24 39 42
-F8 9E 00 1E 04 20 18 53 19 83 FA 23 1E 53 FE 90
-2E 00 FF FF 1A 24 39 50 03 00 B0 12 BC 5E 07 20
-FE 90 5C 00 FF FF 2B 24 CE 93 FF FF 28 24 1E 42
-32 20 1A 53 3A 90 10 00 DA 23 92 53 1A 20 82 63
-1C 20 14 83 D0 23 2C 42 3E 3C FE 90 2E 00 FE FF
-EE 27 B0 12 BC 5E EB 23 39 40 03 00 F8 9E 00 1E
-04 20 18 53 19 83 FA 23 0A 3C CE 93 FF FF DF 23
-FE 90 5C 00 FF FF DB 23 B0 12 BC 5E D8 23 18 42
-30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0
-10 00 0B 1E 15 24 82 93 24 20 06 20 82 93 22 20
-03 20 92 42 02 20 22 20 CE 93 FF FF 8A 23 92 42
-22 20 2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C
-2A 4F B0 12 48 5F 3A 4F 34 40 14 40 3E 4F 0A 93
-06 24 7A 93 14 20 0C 93 03 20 3D 40 BC 48 30 4D
-87 12 F4 45 0B 3C 20 4F 70 65 6E 45 72 72 6F 72
-60 45 98 43 9E 48 D8 45 A0 45 DC 41 EC 5D 1A 93
-B5 20 0C 93 ED 23 30 4D 56 60 04 52 45 41 44 00
-2F 83 8F 4E 00 00 1E 42 34 20 B0 12 D0 5E 1E 82
-34 20 30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58
-2A 41 82 9A 0A 20 A0 24 B0 12 56 5D 09 43 28 93
-03 24 89 93 02 1E 03 20 89 93 00 1E 07 24 09 58
-39 90 00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41
-B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11
-8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20
-82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20
-82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52
-08 20 B0 12 98 5D 3A 41 1A 52 0C 20 30 40 98 5D
-F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27
-5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04
-D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04
-19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04
-1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04
-B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C
-2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38
-39 80 03 00 B0 12 9A 63 39 40 03 00 7A 4B C8 4A
-00 1E 0A 93 12 24 0D 12 3D 40 0F 00 3C 40 4C 63
-7A 9C F4 27 1D 83 FC 23 3D 41 6A 9C E7 27 3A 80
-21 00 EC 3B 18 53 19 83 E9 23 09 93 06 24 F8 40
-20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93 EB 20
-2C 93 0D 24 0C 93 BA 24 87 12 F4 45 0C 3C 20 57
-72 69 74 65 45 72 72 6F 72 00 DC 41 30 62 B0 12
-64 62 92 42 26 20 22 20 92 42 28 20 24 20 B0 12
-DC 62 B0 12 3E 5F 18 42 30 20 F8 40 20 00 0B 1E
-B0 12 F0 62 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E
-88 49 12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E
-88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 32 20 CB 93
-00 00 CA 27 FB 90 2E 00 00 00 C6 27 39 40 0B 00
-B0 12 6C 63 B0 12 86 64 2A 43 B0 12 48 5F 0C 93
-BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12 5C 5D
-B0 12 F0 62 18 4B 08 00 88 49 12 1E 88 4A 16 1E
-88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E
-1A 4B 04 00 19 4B 06 00 30 40 9A 5D 9B 52 1E 20
-12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40
-9A 5D B2 40 00 02 1E 20 1B 42 34 20 B0 12 7C 64
-82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00 22 20
-CB 43 03 00 B0 12 30 5E 08 12 0A 12 B0 12 64 62
-2A 91 05 24 B0 12 DC 62 2A 41 B0 12 56 5D 3A 41
-38 41 98 42 26 20 00 1E 92 93 02 20 03 24 98 42
-28 20 02 1E B0 12 DC 62 9B 42 26 20 0E 00 9B 42
-28 20 10 00 30 40 9E 5E 62 60 05 57 52 49 54 45
-B0 12 92 64 30 4D 4A 62 07 53 44 5F 45 4D 49 54
-B2 90 00 02 1E 20 02 28 B0 12 92 64 18 42 1E 20
-C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B 13 00
-59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42 12 20
-0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B
-0A 11 1C 83 FD 37 1B 42 34 20 19 5B 0A 00 18 6B
-0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B
-12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20
-B0 12 3A 5F 30 4D 0C 93 38 20 38 90 E0 01 03 2C
-C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12
-86 64 B0 12 3C 5E 82 4A 2A 20 0B 4A B0 12 56 5D
-1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24 19 48
-02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20
-3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12 3C 5E
-0B 9A E6 27 0A 12 0A 4B B0 12 DC 62 3A 41 DD 3F
-0A 4B B0 12 DC 62 B0 12 0C 60 30 4D 08 5C 08 54
-45 52 4D 32 53 44 22 00 87 12 76 60 98 43 10 66
-21 53 2F 83 AF 43 00 00 3D 40 20 66 30 40 C8 60
-22 66 92 C3 DC 05 08 43 B0 12 B2 44 92 B3 DC 05
-FD 27 59 42 CC 05 69 92 0D 24 C8 49 00 1E 18 53
-38 90 FF 01 F3 2B 03 24 B0 12 92 64 EC 3F B0 12
-C4 44 EC 3F B0 12 C4 44 82 48 1E 20 B0 12 0C 60
-3D 41 30 4D 10 5E 0A 7B 53 44 5F 54 4F 4F 4C 53
-7D 00 30 4D 08 65 06 53 45 43 54 4F 52 00 09 4E
-2A 4F B0 12 5C 5D 87 12 9A 42 FE 42 18 43 D8 45
-A0 45 34 40 00 1E 34 40 00 02 36 5C 2A 40 7C 60
-07 43 4C 55 53 54 45 52 82 4E 24 20 A2 4F 22 20
-B0 12 5E 5E 9F 42 1A 20 00 00 1E 42 1C 20 DF 3F
-3C 4A 03 46 41 54 2F 82 8F 4E 02 00 9F 42 08 20
-00 00 0E 43 D4 3F 70 60 03 44 49 52 2F 82 8F 4E
-02 00 92 42 2C 20 22 20 92 42 2E 20 24 20 E0 3F
+14 00 82 43 1E 20 6A 93 5F 27 C9 37 8B 43 16 00
+7A 93 02 24 0F 38 95 3F 19 42 C2 1D 1A 42 BE 1D
+0A 89 82 4A 36 20 19 52 C0 1D 82 49 38 20 B2 40
+26 44 94 44 A2 53 00 21 19 42 00 21 89 4D 00 00
+80 3F 1B 42 34 20 0B 93 AA 27 EB 93 02 00 04 20
+B0 12 A8 63 B0 12 70 63 5A 4B 02 00 CB 43 02 00
+2B 4B 82 4B 34 20 7A 93 09 20 1E 42 36 20 9F 42
+38 20 02 00 B2 40 A2 44 94 44 0A 3C 0B 93 8F 27
+CB 93 02 00 8C 37 92 4B 16 00 1E 20 B0 12 22 5E
+2F 53 21 52 19 42 00 21 2D 49 A2 83 00 21 30 4D
+A4 4F 85 52 45 41 44 22 5A 43 19 3C B8 51 86 57
+52 49 54 45 22 00 6A 43 12 3C 0C 4F 84 44 45 4C
+22 00 6A 42 0C 3C 9A 54 05 43 4C 4F 53 45 B0 12
+22 5F 30 4D 2A 4E 85 4C 4F 41 44 22 7A 43 2F 83
+8F 4E 00 00 0E 4A 82 93 B6 1D 0E 24 87 12 34 40
+34 40 D6 48 D6 48 4E 46 6C 46 34 40 28 5D D6 48
+34 40 F4 5F D6 48 2A 40 87 12 34 40 22 00 B0 46
+F2 5F 3D 41 78 4E 08 5E C8 43 00 00 1C 43 92 42
+2C 20 22 20 92 42 2E 20 24 20 CE 93 00 00 91 24
+FE 90 3A 00 01 00 01 20 2E 53 FE 90 5C 00 00 00
+09 20 1E 53 92 42 02 20 22 20 82 43 24 20 CE 93
+00 00 73 24 82 4E 32 20 B0 12 68 5D 34 40 20 00
+A2 93 02 20 04 24 92 92 22 20 02 20 02 24 14 42
+12 20 B0 12 48 5E 2C 43 0A 43 08 4A 58 0E 08 58
+82 48 30 20 C8 93 00 1E 64 24 39 42 F8 9E 00 1E
+04 20 18 53 19 83 FA 23 1E 53 FE 90 2E 00 FF FF
+1A 24 39 50 03 00 B0 12 C6 5D 07 20 FE 90 5C 00
+FF FF 2B 24 CE 93 FF FF 28 24 1E 42 32 20 1A 53
+3A 90 10 00 DA 23 92 53 1A 20 82 63 1C 20 14 83
+D0 23 2C 42 3E 3C FE 90 2E 00 FE FF EE 27 B0 12
+C6 5D EB 23 39 40 03 00 F8 9E 00 1E 04 20 18 53
+19 83 FA 23 0A 3C CE 93 FF FF DF 23 FE 90 5C 00
+FF FF DB 23 B0 12 C6 5D D8 23 18 42 30 20 92 48
+1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00 0B 1E
+15 24 82 93 24 20 06 20 82 93 22 20 03 20 92 42
+02 20 22 20 CE 93 FF FF 8A 23 92 42 22 20 2C 20
+92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F B0 12
+52 5E 34 40 14 40 3A 4F 3E 4F 0A 93 06 24 7A 93
+14 20 0C 93 03 20 3D 40 3C 49 30 4D 87 12 30 46
+0B 3C 20 4F 70 65 6E 45 72 72 6F 72 9C 45 C2 43
+1E 49 14 46 DC 45 DC 41 06 5D 1A 93 B5 20 0C 93
+ED 23 30 4D 82 5F 04 52 45 41 44 00 2F 83 8F 4E
+00 00 1E 42 34 20 B0 12 DA 5D 1E 82 34 20 30 4D
+2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41 82 9A
+0A 20 A0 24 B0 12 70 5C 09 43 28 93 03 24 89 93
+02 1E 03 20 89 93 00 1E 07 24 09 58 39 90 00 02
+F4 23 91 53 00 00 EA 3F 0C 43 6A 41 B9 43 00 1E
+28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10 09 5A
+5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49 26 20
+07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43 28 20
+3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20 B0 12
+B2 5C 3A 41 1A 52 0C 20 30 40 B2 5C F2 B0 40 00
+A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42 B0 04
+4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42 B1 04
+C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52 E4 04
+D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52 E4 04
+92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40 00 02
+C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A 3B 3C
+3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80 03 00
+B0 12 C6 62 39 40 03 00 7A 4B C8 4A 00 1E 0A 93
+12 24 0D 12 3D 40 0F 00 3C 40 78 62 7A 9C F4 27
+1D 83 FC 23 3D 41 6A 9C E7 27 3A 80 21 00 EC 3B
+18 53 19 83 E9 23 09 93 06 24 F8 40 20 00 00 1E
+18 53 19 83 FA 23 30 41 2A 93 EB 20 2C 93 0D 24
+0C 93 BA 24 87 12 30 46 0C 3C 20 57 72 69 74 65
+45 72 72 6F 72 00 DC 41 5C 61 B0 12 90 61 92 42
+26 20 22 20 92 42 28 20 24 20 B0 12 08 62 B0 12
+48 5E 18 42 30 20 F8 40 20 00 0B 1E B0 12 1C 62
+88 43 0C 1E 88 4A 0E 1E 88 49 10 1E 88 49 12 1E
+98 42 24 20 14 1E 98 42 22 20 1A 1E 88 43 1C 1E
+88 43 1E 1E 1C 43 1B 42 32 20 CB 93 00 00 CA 27
+FB 90 2E 00 00 00 C6 27 39 40 0B 00 B0 12 98 62
+B0 12 B2 63 2A 43 B0 12 52 5E 0C 93 BB 23 30 4D
+1A 4B 04 00 19 4B 06 00 B0 12 76 5C B0 12 1C 62
+18 4B 08 00 88 49 12 1E 88 4A 16 1E 88 49 18 1E
+98 4B 12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B 04 00
+19 4B 06 00 30 40 B4 5C 9B 52 1E 20 12 00 8B 63
+14 00 1A 42 1A 20 19 42 1C 20 30 40 B4 5C B2 40
+00 02 1E 20 1B 42 34 20 B0 12 A8 63 82 43 1E 20
+DB 53 03 00 DB 92 12 20 03 00 22 20 CB 43 03 00
+B0 12 3A 5D 08 12 0A 12 B0 12 90 61 2A 91 05 24
+B0 12 08 62 2A 41 B0 12 70 5C 3A 41 38 41 98 42
+26 20 00 1E 92 93 02 20 03 24 98 42 28 20 02 1E
+B0 12 08 62 9B 42 26 20 0E 00 9B 42 28 20 10 00
+30 40 A8 5D 8E 5F 05 57 52 49 54 45 B0 12 BE 63
+30 4D 76 61 07 53 44 5F 45 4D 49 54 B2 90 00 02
+1E 20 02 28 B0 12 BE 63 18 42 1E 20 C8 4E 00 1E
+92 53 1E 20 3E 4F 30 4D 58 4B 13 00 59 4B 14 00
+89 10 09 58 58 4B 15 00 5B 42 12 20 0A 43 3C 42
+08 11 09 10 4A 10 1C 83 0B 11 FA 2B 0A 11 1C 83
+FD 37 1B 42 34 20 19 5B 0A 00 18 6B 0C 00 8B 49
+0E 00 8B 48 10 00 CB 4A 03 00 1A 4B 12 00 BB C0
+FF 01 12 00 3A F0 FF 01 82 4A 1E 20 B0 12 44 5E
+30 4D 0C 93 38 20 38 90 E0 01 03 2C C8 93 20 1E
+02 24 7C 40 E5 00 C8 4C 00 1E B0 12 B2 63 B0 12
+46 5D 82 4A 2A 20 0B 4A B0 12 70 5C 1A 48 00 1E
+88 43 00 1E 92 93 02 20 09 24 19 48 02 1E 88 43
+02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93 0E 24
+82 4A 22 20 82 49 24 20 B0 12 46 5D 0B 9A E6 27
+0A 12 0A 4B B0 12 08 62 3A 41 DD 3F 0A 4B B0 12
+08 62 B0 12 22 5F 30 4D 42 4D 08 54 45 52 4D 32
+53 44 22 00 87 12 A2 5F C2 43 3C 65 21 53 2F 83
+AF 43 00 00 3D 40 4C 65 30 40 F4 5F 4E 65 92 C3
+DC 05 08 43 B0 12 D6 44 92 B3 DC 05 FD 27 59 42
+CC 05 69 92 0D 24 C8 49 00 1E 18 53 38 90 FF 01
+F3 2B 03 24 B0 12 BE 63 EC 3F B0 12 E8 44 EC 3F
+B0 12 E8 44 82 48 1E 20 B0 12 22 5F 3D 41 30 4D
+3C 51 09 7B 55 54 49 4C 49 54 59 7D 30 4D FE 4E
+02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
+3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
+0E 11 87 12 34 40 3C 00 90 45 AC 43 34 40 08 00
+90 45 34 40 3E 00 90 45 DC 45 8A 40 8A 40 C4 41
+EA 41 EA 65 62 40 62 40 2A 40 F0 41 28 42 F2 40
+78 43 34 40 02 00 00 42 EC 65 2A 40 A0 65 03 2E
+52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F
+76 47 01 3F 2E 4E 30 40 78 43 26 64 05 57 4F 52
+44 53 87 12 D0 45 34 40 03 00 F2 45 34 40 CA 1D
+F2 40 50 42 34 40 10 00 44 40 20 41 30 4E 34 40
+00 00 44 40 34 40 10 00 44 40 20 41 34 40 00 00
+F0 41 44 40 28 42 50 42 20 41 F2 40 D2 41 E0 41
+70 66 62 40 62 40 28 42 44 40 50 42 20 41 F2 40
+34 40 02 00 00 42 52 66 54 40 E0 41 B2 66 44 40
+34 40 02 00 28 41 F2 40 9A 40 50 42 20 41 FA 40
+44 40 1E 49 34 40 7F 00 36 41 14 46 08 41 34 40
+0F 00 36 41 34 40 10 00 78 40 28 41 F2 45 DC 41
+3E 66 62 40 2A 40 B6 5F 03 4D 41 58 2E 9F 07 38
+2F 53 30 4D B8 66 03 4D 49 4E 2E 9F F9 3B 3E 4F
+30 4D 2A 65 03 55 2E 52 87 12 B0 40 9A 42 34 40
+00 00 F6 42 28 43 42 43 BC 40 8A 40 28 41 34 40
+00 00 BC 66 F2 45 14 46 2A 40 9C 5F 04 44 55 4D
+50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D 2E 5F
+B0 12 2A 40 78 40 8A 40 8A 40 78 43 34 40 01 00
+28 41 78 43 34 40 F0 FF 36 41 F0 41 D0 45 28 42
+34 40 07 00 D8 66 DC 45 28 42 34 40 10 00 20 41
+28 42 F0 41 28 42 08 41 34 40 03 00 D8 66 12 42
+44 67 DC 45 DC 45 28 42 34 40 10 00 20 41 28 42
+F0 41 28 42 08 41 34 40 7E 00 CA 66 90 42 BC 66
+90 45 12 42 62 67 34 40 10 00 00 42 2C 67 BC 40
+7A 42 FA 40 2A 40 92 65 0A 7B 46 49 58 50 4F 49
+4E 54 7D 00 30 4D 82 54 05 48 4F 4C 44 53 39 4F
+09 5E 18 42 AA 1D 19 83 1E 83 04 28 18 83 E8 49
+00 00 F9 3F 82 48 AA 1D 3E 4F 30 4D A0 4E 02 46
+2B 00 BF 5F 02 00 3E 6F 30 4D BE 67 02 46 2D 00
+BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D CC 67 02 46
+2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00 19 4F
+02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53 09 63
+3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53 00 00
+0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E 01 20
+09 96 02 28 09 86 0A 7E 07 67 04 64 15 83 09 30
+0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E 12 D3
+F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3 06 34
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D DE 67
+03 46 23 53 2F 83 8F 4E 00 00 2B 42 B2 90 0A 00
+DA 1D 01 20 1B 53 0C 43 A2 4F C0 04 92 42 DA 1D
+C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90 0A 00
+02 28 3E 50 07 00 3E 50 30 00 CC 4E 88 1D 1C 53
+0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 88 1D 78 3F
+60 68 02 46 2A 00 92 4F 04 00 D4 04 92 4F 02 00
+D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C 00 3C
+9F 42 E6 04 00 00 1E 42 E8 04 30 4D B2 68 02 46
+2E 00 87 12 9A 42 44 40 B0 40 6E 41 78 40 64 68
+34 40 2C 00 58 43 28 43 BC 40 66 43 42 43 14 46
+DC 45 2A 40 34 64 03 53 3E 46 2F 83 8F 43 00 00
+30 4D FC 66 03 44 3E 46 2E 4F 8F 43 00 00 30 4D
+06 69 09 32 43 4F 4E 53 54 41 4E 54 87 12 20 4C
+78 40 D6 48 D6 48 38 4C 3A 69 2F 83 BF 4E 00 00
+2E 4E 3D 41 30 4D
 @FFB4
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 F2 44 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 16 45 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
 q
index 78bf676..c173852 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 F2 44 80 3E 00 24 05 00 18 00 26 67 B2 53
-B2 44 C4 44 92 5D D0 5D
+10 00 16 45 80 3E 00 24 05 00 18 00 A0 69 D8 54
+D6 44 E8 44 D0 5C 0E 5D
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -56,595 +56,634 @@ AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 42 04 42 41 53 45 00 85 12 DA 1D C8 40
 05 53 54 41 54 45 85 12 B6 1D 74 42 02 42 4C 00
 85 12 20 00 B4 41 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 42 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 40 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 42 02 23 53 00 87 12
-A6 42 04 43 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 42 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 42 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 42 02 55 2E 00 87 12
-9A 42 34 40 00 00 FE 42 18 43 D8 45 A0 45 2A 40
-68 41 02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41
-FE 42 9A 40 3C 43 18 43 D8 45 A0 45 2A 40 50 41
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 43 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 41 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 43 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 B2 44 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-C4 44 30 4D 92 43 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 42 03 4B 45 59 30 40 EC 43 0D 12 3D 40
-26 44 1B 42 34 20 9B 42 1E 20 16 00 3A 40 00 21
-8F 4A 02 00 8F 4E 00 00 0E 43 82 93 20 20 13 24
-19 42 1E 20 02 3C 28 44 2D 83 19 92 20 20 15 2C
-58 49 00 1E 19 53 78 90 20 00 08 2C 78 90 0A 00
-F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F 64 24
-CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12 06 5F
-3A 41 DB 3F A6 43 06 41 43 43 45 50 54 00 30 40
-7E 44 E6 43 08 28 41 43 43 45 50 54 29 00 3C 40
-30 45 3B 40 FA 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40
-0D 00 3C 40 20 00 3D 40 24 45 92 B3 DC 05 05 24
-18 42 CC 05 38 90 0A 00 04 20 21 53 39 40 DE 44
-4D 15 B2 40 11 00 CE 05 E2 C2 23 02 A2 B3 DC 05
-FD 27 30 41 B2 40 13 00 CE 05 E2 D2 23 02 A2 B3
-DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50 30 40
-EC 44 00 00 07 28 53 4C 45 45 50 29 12 D2 0A 18
-F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27 48 9C
-06 2C 78 92 11 20 2E 9F 0F 24 1E 83 05 3C 0E 9A
-03 24 CE 48 00 00 1E 53 82 48 CE 05 A2 B3 DC 05
-FD 27 30 4D 26 45 2D 83 92 B3 DC 05 FD 27 E3 23
-B2 40 18 00 0A 18 3E 8F 3D 41 30 4D 74 44 06 28
-45 4D 49 54 29 00 08 4E 3E 4F E6 3F 62 43 04 45
-4D 49 54 00 30 40 46 45 4E 45 04 45 43 48 4F 00
-B2 40 82 48 18 45 30 4D 80 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 18 45 30 4D 3E 45 04 28 43 52
-29 00 2F 83 8F 4E 00 00 3E 40 0D 00 E3 3F B6 43
-02 43 52 00 30 40 82 45 36 43 05 53 50 41 43 45
-2F 83 8F 4E 00 00 3E 40 20 00 D4 3F 9A 45 06 53
-50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40 C2 45
-EF 3F C4 45 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D
-4A 43 04 54 59 50 45 00 0E 93 AB 24 2A 4F 8F 5E
-00 00 0E 4A 87 12 F0 41 28 42 08 41 54 45 12 42
-E8 45 2A 40 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
-0D 5E 1D B3 0D 63 30 4D 90 45 07 43 41 50 53 5F
-4F 4E B2 43 AC 1D 30 4D 0A 46 08 43 41 50 53 5F
-4F 46 46 00 82 43 AC 1D 30 4D AE 45 82 53 22 00
-87 12 34 40 F4 45 56 48 24 46 34 40 22 00 74 46
-12 46 44 46 3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F
-92 B3 C4 1D A2 63 C4 1D 30 4D 6A 45 82 2E 22 00
-87 12 30 46 34 40 D8 45 56 48 2A 40 00 00 04 57
-4F 52 44 00 3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C
-28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00
-C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53
-4B 9C F6 2F 82 93 AC 1D F3 27 7C 90 7B 00 F0 2F
-7C 80 20 00 ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42
-C4 1D 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
-44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40 CA 1D
-3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58
-2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4
-48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83
-FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30
-2E 83 8F 4C 00 00 35 40 08 40 34 40 14 40 30 4D
-2F 53 2F 53 3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42
-45 52 3C 4F 38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C
-7A 80 30 00 7A 90 0A 00 02 28 7A 80 07 00 0A 9B
-13 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
-E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E7 23
-8F 49 04 00 8F 48 02 00 8F 4C 00 00 30 4D 03 12
-0D 12 1B 42 DA 1D 0B 12 32 C0 00 02 6D 4E 0D 5E
-0C 4E 7A 40 2E 00 0D 9C 0A 28 7A 9C FC 23 32 D0
-00 02 FC 4C FE FF 0D 9C FC 2F DE 83 00 00 09 43
-08 43 3D 40 04 48 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 90 2D 00 10 2C 3B 40 10 00 7A 80 24 00
-06 24 2B 43 5A 83 03 24 3B 52 6A 53 B0 23 1C 53
-1E 83 6A 4C 7A 90 2D 00 AA 23 1C 53 1E 83 B1 43
-04 00 A5 3F 06 48 2F 53 0E 93 2C 17 82 4C DA 1D
-03 24 2F 52 0E F3 30 4D 9F 4F 02 00 04 00 BF 4F
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 42 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 42 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 42 02 23 53 00 87 12 F6 42 2E 43 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 43 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 42 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 42
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 42 02 55 2E 00 87 12 9A 42 34 40 00 00
+28 43 42 43 14 46 DC 45 2A 40 68 41 02 44 2E 00
+87 12 9A 42 78 40 8A 40 6E 41 28 43 9A 40 66 43
+42 43 14 46 DC 45 2A 40 50 41 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 43 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 41
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 43
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 D6 44 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 E8 44 30 4D BC 43
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 42 03 4B
+45 59 30 40 16 44 0D 12 3D 40 4A 44 1B 42 34 20
+9B 42 1E 20 16 00 3A 40 12 21 8F 4A 02 00 8F 4E
+00 00 0E 43 19 42 1E 20 02 3C 4C 44 2D 83 19 92
+20 20 15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C
+78 90 0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D
+2E 9F 70 24 CA 48 00 00 1A 53 1E 53 6B 3C 0A 12
+B0 12 34 5E 3A 41 DE 3F D0 43 06 41 43 43 45 50
+54 00 30 40 A2 44 10 44 08 28 41 43 43 45 50 54
+29 00 3C 40 6C 45 3B 40 1E 45 2D 15 0A 4E 2E 4F
+0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 60 45 92 B3
+DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
+39 40 02 45 4D 15 B2 40 11 00 CE 05 E2 C2 23 02
+A2 B3 DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D2
+23 02 A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45
+45 50 30 40 10 45 00 00 07 28 53 4C 45 45 50 29
+12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B
+E3 27 48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83
+3D 40 36 45 0F 3C 38 45 38 40 20 00 3D 52 0A 3C
+42 45 78 42 3D 40 60 45 05 3C 0E 9A 03 24 CE 48
+00 00 1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D
+62 45 2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00
+0A 18 3E 8F 3D 41 30 4D 98 44 06 28 45 4D 49 54
+29 00 08 4E 3E 4F E6 3F 8C 43 04 45 4D 49 54 00
+30 40 82 45 8A 45 04 45 43 48 4F 00 B2 40 82 48
+54 45 30 4D AA 43 06 4E 4F 45 43 48 4F 00 B2 40
+30 4D 54 45 30 4D 7A 45 04 28 43 52 29 00 2F 83
+8F 4E 00 00 3E 40 0D 00 E3 3F E0 43 02 43 52 00
+30 40 BE 45 60 43 05 53 50 41 43 45 2F 83 8F 4E
+00 00 3E 40 20 00 D4 3F D6 45 06 53 50 41 43 45
+53 00 0E 93 09 24 0D 12 3D 40 FE 45 EF 3F 00 46
+2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D 74 43 04 54
+59 50 45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A
+87 12 F0 41 28 42 08 41 90 45 12 42 24 46 2A 40
+2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
+0D 63 30 4D CC 45 07 43 41 50 53 5F 4F 4E B2 43
+AC 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
+82 43 AC 1D 30 4D EA 45 82 53 22 00 87 12 34 40
+30 46 D6 48 60 46 34 40 22 00 B0 46 4E 46 80 46
+3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D
+A2 63 C4 1D 30 4D A6 45 82 2E 22 00 87 12 6C 46
+34 40 14 46 D6 48 2A 40 00 00 04 57 4F 52 44 00
+3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
+1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
+09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
+82 93 AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
+ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E
+CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
+0C 4E 65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93
+1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
+FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
+0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
+09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
+00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
+3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42 45 52 3C 4F
+38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00
+7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
+0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
+19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
+E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
+0C 43 1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43
+3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
+7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
+6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
+6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
+22 48 0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+AC 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
-30 4D 5A 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+30 4D 96 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
 00 4A 26 41 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E
-00 00 3E 4F 30 4D 54 48 87 4C 49 54 45 52 41 4C
+00 00 3E 4F 30 4D D4 48 87 4C 49 54 45 52 41 4C
 82 93 B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40
 34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
-00 02 F1 23 30 4D 1A 46 05 43 4F 55 4E 54 2F 83
+00 02 F1 23 30 4D 56 46 05 43 4F 55 4E 54 2F 83
 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D
-B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 74 46
-C2 48 3D 40 CE 48 05 23 3D 41 3E 4F 30 4D D0 48
-0A 4E 3E 4F 3D 40 E6 48 5A 27 3D 40 BC 48 1A E2
-B6 1D B9 27 B3 23 E8 48 3E 4F 3D 40 BC 48 C0 23
+B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 B0 46
+42 49 3D 40 4E 49 E3 22 3D 41 3E 4F 30 4D 50 49
+0A 4E 3E 4F 3D 40 66 49 3B 27 3D 40 3C 49 1A E2
+B6 1D B9 27 B3 23 68 49 3E 4F 3D 40 3C 49 C0 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-5A 4B CD 3F 44 48 08 45 56 41 4C 55 41 54 45 00
+FE 4B CD 3F C4 48 08 45 56 41 4C 55 41 54 45 00
 39 40 BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40
-AC 48 24 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
-3D 41 30 4D 4C 42 04 51 55 49 54 00 31 40 E0 1C
-B2 40 00 1C 00 1C 82 43 B6 1D 82 93 08 18 17 24
-E2 B2 60 02 14 20 2F 83 8F 4E 00 00 1E 42 08 18
-82 43 08 18 B0 12 2A 40 F4 45 0F 4C 4F 41 44 22
-20 42 4F 4F 54 2E 34 54 48 22 DC 41 9A 49 82 43
-08 18 B0 12 2A 40 F4 45 03 0D 6F 6B D8 45 A0 45
-5A 42 44 40 64 42 6E 44 A0 45 AC 48 DE 40 92 41
-F4 45 0D 73 74 61 63 6B 20 65 6D 70 74 79 21 20
-EA 49 34 40 30 FF 98 43 D2 41 F4 45 0B 46 52 41
-4D 20 66 75 6C 6C 21 20 EA 49 86 42 F2 40 E0 41
-86 49 F4 45 03 0D 20 20 DC 41 8C 49 66 44 05 41
-42 4F 52 54 3F 40 80 1C A9 3F 8F 93 02 00 A0 26
-B2 40 82 48 18 45 1B 42 34 20 0B 93 04 24 CB 43
-02 00 2B 4B FA 3F B0 12 0E 4F A2 B3 DC 05 FD 27
-B2 40 11 00 CE 05 E2 C2 23 02 92 C3 DC 05 38 40
-A0 AA 39 42 03 43 19 83 FD 23 18 83 FA 23 92 B3
-DC 05 F3 23 87 12 F4 45 04 1B 5B 37 6D 00 D8 45
-D8 45 F4 45 04 1B 5B 30 6D 00 D8 45 1C 4E 5E 4E
-64 4E AC 4E 12 46 E4 49 DE 49 86 41 42 4F 52 54
-22 00 87 12 30 46 34 40 EA 49 56 48 2A 40 CC 46
-01 27 87 12 90 42 74 46 D2 46 E0 41 80 4A 2A 40
-F0 48 96 42 81 5C 92 42 BE 1D C2 1D 30 4D 00 00
-81 5B 82 43 B6 1D 30 4D 84 4A 01 5D B2 43 B6 1D
-30 4D 90 4A 83 5B 27 5D 87 12 72 4A 34 40 34 40
-56 48 56 48 2A 40 BE 4F 02 00 3E 4F 30 4D 7C 45
-82 49 53 00 87 12 86 42 F2 40 E0 41 D8 4A A8 4A
-34 40 B6 4A 56 48 2A 40 72 4A B6 4A 2A 40 C0 4A
-09 49 4D 4D 45 44 49 41 54 45 1A 42 AE 1D FA D0
-80 00 00 00 30 4D 2C 46 87 52 45 43 55 52 53 45
-19 42 C4 1D 99 42 B2 1D 00 00 A2 53 C4 1D 30 4D
-36 49 88 50 4F 53 54 50 4F 4E 45 00 87 12 90 42
-74 46 D2 46 54 40 E0 41 80 4A 92 41 E0 41 3C 4B
-34 40 34 40 56 48 56 48 34 40 56 48 56 48 2A 40
-82 9F B4 1D A0 24 87 12 F4 45 0F 73 74 61 63 6B
-20 6D 69 73 6D 61 74 63 68 21 F0 49 87 12 50 46
-90 42 74 46 66 4B 08 4E 7A 4E 5A D3 5A 53 0A 58
-19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E 82 48 AE 1D
-82 49 B0 1D 82 4A B2 1D 2A 52 82 4A C4 1D 3E 4F
-3D 41 30 41 6E 46 08 56 41 52 49 41 42 4C 45 00
-B0 12 5C 4B BA 40 86 12 FC FF 71 3C 98 48 08 43
-4F 4E 53 54 41 4E 54 00 B0 12 5C 4B BA 40 85 12
-FC FF 8A 4E FE FF 3E 4F 62 3C AE 4B 06 43 52 45
-41 54 45 00 B0 12 5C 4B BA 40 85 12 FC FF 8A 4A
-FE FF 55 3C 06 49 05 44 4F 45 53 3E 1A 42 B2 1D
-BA 40 84 12 00 00 8A 4D 02 00 3D 41 30 4D E6 4B
-05 44 45 46 45 52 B0 12 5C 4B BA 40 30 40 FC FF
-BA 40 FC 4B FE FF 3B 3C A4 4A 01 3A B0 12 5C 4B
-BA 40 87 12 FC FF A2 83 C4 1D B2 43 B6 1D 82 4F
-B4 1D 30 4D 1A 4C 81 3B 82 93 B6 1D 24 27 87 12
-34 40 2A 40 56 48 40 4B 92 4A 2A 40 4E 4C 3D 41
-B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D 68 48 06 4D
-41 52 4B 45 52 00 B0 12 5C 4B BA 40 84 12 FC FF
-BA 40 4C 4C FE FF 9A 42 C6 1D 00 00 28 83 8A 48
-02 00 A2 52 C4 1D 18 42 AE 1D 19 42 B0 1D A8 49
-FE FF 89 48 00 00 30 4D E0 4A 82 49 46 00 2F 83
-8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D BE 40 E0 41
-00 00 2E 53 30 4D 00 4C 84 45 4C 53 45 00 A2 52
-C4 1D 1A 42 C4 1D BA 40 DC 41 FC FF 8E 4A 00 00
-2A 83 0E 4A 30 4D D2 45 84 54 48 45 4E 00 9E 42
-C4 1D 00 00 3E 4F 30 4D CC 4B 85 42 45 47 49 4E
-30 40 98 43 D8 4C 85 55 4E 54 49 4C 39 40 E0 41
-A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF
-3E 4F 30 4D 5A 4A 85 41 47 41 49 4E 39 40 DC 41
-EF 3F 96 4B 85 57 48 49 4C 45 87 12 9E 4C 78 40
-2A 40 F8 4A 86 52 45 50 45 41 54 00 87 12 1C 4D
-DE 4C 2A 40 B8 4C 82 44 4F 00 2F 83 8F 4E 00 00
-A2 53 C4 1D 1E 42 C4 1D BE 40 F0 41 FE FF A2 53
-00 1C 1A 42 00 1C 8A 43 00 00 30 4D 5E 4C 84 4C
-4F 4F 50 00 39 40 12 42 A2 52 C4 1D 1A 42 C4 1D
-8A 49 FC FF 8A 4E FE FF 1E 42 00 1C A2 83 00 1C
-2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D
-F4 43 85 2B 4C 4F 4F 50 39 40 00 42 E5 3F 6E 4D
-85 4C 45 41 56 45 1A 42 C4 1D BA 40 22 42 00 00
-BA 40 DC 41 02 00 B2 50 06 00 C4 1D A2 53 00 1C
-2A 52 19 42 00 1C 89 4A 00 00 30 4D B0 4D 04 4D
-4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24
-08 99 0F 24 06 2C F8 49 00 00 18 53 1A 83 FB 23
-30 4D 08 5A 09 5A 19 83 18 83 E8 49 00 00 1A 83
-FA 23 30 4D 34 40 CA 1D FA 40 2A 40 84 12 14 4E
-F8 53 D6 66 0E 67 F8 66 B6 53 A2 4D C0 60 68 5B
-D0 4E AC 66 34 66 30 65 46 41 9C 66 9A 4A 7C 5B
-00 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D D9 3F
-3A 40 0E 00 39 40 CC 1D 38 40 CA 1D CC 3F 82 43
-CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D 6E 4E 3A 4E
-82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40 10 00 09 4A
-08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48 00 00
-1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D
-12 4B 09 50 57 52 5F 53 54 41 54 45 84 12 6C 4E
-B2 53 26 67 34 4D 09 52 53 54 5F 53 54 41 54 45
-92 42 0E 18 B0 4E 92 42 0C 18 B2 4E EF 3F A2 4E
-08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D B0 4E
-92 42 C4 1D B2 4E 30 4D B6 4E 08 52 53 54 5F 48
-45 52 45 00 92 42 C6 1D 0E 18 92 42 C4 1D 0C 18
-EC 3F B2 40 A2 50 04 51 B2 40 EC 44 E0 44 B2 40
-46 45 56 45 B2 40 82 45 96 45 B2 40 EC 43 FA 43
-B2 40 7E 44 70 44 82 43 74 5A 82 43 80 5A 82 43
-8C 5A 82 43 BC 5A 82 43 C8 5A 82 43 D4 5A B2 40
-0A 00 DA 1D 30 41 24 4D 04 57 49 50 45 00 39 40
-80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23 B0 12
-02 4F B2 40 26 67 0C 18 B2 40 B2 53 0E 18 A8 3F
-EA 4C 07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F
-39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30
-19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43
-30 4D 36 4C 86 5B 54 48 45 4E 5D 00 30 4D 1E 83
-30 4D A4 4F 86 5B 45 4C 53 45 5D 00 87 12 34 40
-01 00 90 42 74 46 9E 48 44 40 E0 41 2A 50 8A 40
-8A 40 F4 45 04 5B 49 46 5D 00 7A 4F EA 41 E8 4F
-32 47 2C 41 DC 41 1E 50 8A 40 8A 40 F4 45 06 5B
-45 4C 53 45 5D 00 7A 4F EA 41 0C 50 32 47 AE 4F
-44 40 E0 41 08 50 2C 41 DC 41 1E 50 F4 45 06 5B
-54 48 45 4E 5D 00 7A 4F EA 41 1E 50 AE 4F 54 40
-EA 41 26 50 2A 40 DC 41 C2 4F 32 47 F4 45 03 0D
-6B 6F D8 45 A0 45 5A 42 44 40 64 42 6E 44 40 50
-82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40
-C2 4F 30 4D B4 4F 84 5B 49 46 5D 00 0E 93 3E 4F
-AD 27 30 4D 56 50 8B 5B 55 4E 44 45 46 49 4E 45
-44 5D 87 12 90 42 74 46 D2 46 6C 40 86 41 2A 40
-66 50 89 5B 44 45 46 49 4E 45 44 5D 87 12 90 42
-74 46 D2 46 6C 40 2A 40 9A 4C 06 28 57 41 52 4D
-29 00 1E 42 08 18 87 12 F4 45 05 0D 1B 5B 37 6D
-D8 45 82 43 F4 45 27 20 46 61 73 74 46 6F 72 74
-68 20 56 31 36 32 20 31 36 4D 48 7A 20 28 43 29
-20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 D8 45
-34 40 30 FF 98 43 28 41 4E 43 F4 45 0B 62 79 74
-65 73 20 66 72 65 65 20 06 4A 48 4F 04 57 41 52
-4D 00 30 40 A2 50 72 4F 04 43 4F 4C 44 00 B2 40
-04 A5 20 01 B2 40 88 5A 5C 01 B2 D0 03 00 04 02
-B2 40 FC FF 02 02 B2 C0 03 00 06 02 B2 D0 00 04
-24 02 B2 D3 26 02 B2 43 22 02 B2 43 42 02 B2 D3
-46 02 B2 43 62 02 B2 D3 66 02 F2 43 26 03 F2 D3
-22 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
-41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
-66 01 39 40 80 00 B2 40 33 00 64 01 D2 43 61 01
-92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
-C2 A2 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
-10 00 2A 03 F2 40 A5 00 A1 04 F2 C0 40 00 A2 04
-3A 40 14 51 39 40 B4 FF 89 4A 00 00 29 53 FC 23
-92 42 02 18 F0 FF B2 40 18 00 0A 18 31 40 E0 1C
-3F 40 80 1C 37 40 00 40 36 40 BC 40 35 40 08 40
-34 40 14 40 B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3
-30 01 18 42 08 18 D2 B3 01 02 04 20 38 E3 18 53
-82 48 08 18 B2 40 81 00 C0 05 B2 40 11 00 C6 05
-B2 40 00 4A C8 05 F2 D0 03 00 0D 02 92 C3 C0 05
-92 D3 DA 05 3D 40 3E 52 18 42 08 18 38 90 0A 00
-8E 26 38 90 16 00 8B 2E 28 93 42 22 37 26 40 52
-E2 B2 60 02 5E 23 B2 40 81 A9 40 06 B2 40 30 00
-46 06 D2 D3 25 02 B2 D0 C0 04 0C 02 B2 C0 C0 04
-06 02 92 C3 40 06 39 40 00 20 89 43 00 00 29 53
-39 90 54 21 FA 23 39 42 B0 12 6A 5D D2 C3 23 02
-2C 42 B2 40 95 00 14 20 B2 40 00 40 18 20 1A 43
-B0 12 2E 5D 02 24 30 40 08 5E B0 12 68 5D 7A 93
-FC 23 B2 40 87 AA 14 20 92 43 16 20 B2 40 00 48
-18 20 1A 43 B0 12 2E 5D 29 42 B0 12 6A 5D 92 43
-14 20 82 43 16 20 78 43 3C 42 B2 40 00 77 18 20
-1A 43 B0 12 2E 5D B2 40 40 69 18 20 B0 12 24 5D
-03 24 58 83 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40
-00 50 18 20 B0 12 24 5D CE 23 92 D3 40 06 82 43
-46 06 92 C3 40 06 B0 12 90 5D 38 40 00 1E 92 48
-C6 01 04 20 92 48 C8 01 06 20 5A 48 C2 01 92 43
-02 20 7A 80 06 00 0D 24 7A 82 0B 24 A2 43 02 20
-6A 53 07 24 5A 53 05 24 3A 50 0B 20 0C 4A 30 40
-0E 5E B0 12 90 5D D2 48 0D 00 12 20 19 48 0E 00
-82 49 08 20 1A 48 16 00 0A 93 02 20 1A 48 24 00
-82 4A 0A 20 09 5A 82 49 0C 20 09 5A A2 93 02 20
-04 24 82 49 0E 20 39 50 20 00 19 82 12 20 19 82
-12 20 82 49 10 20 92 42 02 20 2C 20 BA 3E 84 12
-14 4E D8 59 84 5A 8C 59 D8 5A 52 59 0C 5A 56 56
-00 00 48 59 F8 59 AA 59 E8 59 66 57 00 00 00 00
-EA 5A 40 4E 9A 50 85 48 49 32 4C 4F 87 12 98 43
-B2 4C 56 48 92 4A 42 4E 8E 53 2A 40 08 51 04 43
-4F 44 45 00 B0 12 5C 4B A2 82 C4 1D 87 12 2E 4C
-DC 41 C6 53 00 00 07 45 4E 44 43 4F 44 45 87 12
-50 4E 40 4B 2A 40 16 4D 03 41 53 4D 92 42 C8 1D
-B8 1D B2 40 92 53 C8 1D E5 3F E6 53 06 45 4E 44
-41 53 4D 00 92 42 B8 1D C8 1D E9 3F 00 00 05 43
-4F 4C 4F 4E 1A 42 C4 1D BA 40 87 12 00 00 A2 53
-C4 1D B2 43 B6 1D 30 40 50 4E 00 00 05 4C 4F 32
-48 49 1A 42 C4 1D BA 40 B0 12 00 00 BA 40 2A 40
-02 00 A2 52 C4 1D ED 3F 38 40 BE 1D 39 48 2A 48
-09 5A 1A 52 C2 1D 09 9A 03 24 7E 9A FC 27 1A 83
-0E 4A 2A 88 82 4A C2 1D 30 4D B0 12 2A 40 74 46
-D2 46 EA 41 8E 54 8E 47 E0 41 80 4A B0 54 90 54
-29 4E 39 90 86 12 02 20 2E 53 30 41 39 90 85 12
-03 20 1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52
-30 41 19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 40
-29 00 12 12 C2 1D 92 53 C2 1D B0 12 2A 40 74 46
-8E 47 E0 41 E2 54 D8 54 21 53 3E 90 10 00 BB 2D
-30 41 E4 54 B2 41 C2 1D 22 D3 30 41 87 12 90 42
-58 54 F4 54 82 43 BC 1D 92 42 C4 1D BA 1D A2 53
-C4 1D 0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53
-C2 1D B0 12 7A 54 0E 93 04 20 B2 40 00 03 BC 1D
-27 3C 1E 93 04 20 B2 40 10 03 BC 1D 21 3C 2E 93
-04 20 B2 40 20 03 BC 1D 1B 3C 2E 92 04 20 B2 40
-20 02 BC 1D 15 3C 3E 92 04 20 B2 40 30 02 BC 1D
-0F 3C 3E 93 04 20 B2 40 30 03 BC 1D 09 3C B2 40
-30 00 BC 1D 19 42 C4 1D A2 53 C4 1D 89 4E 00 00
-3E 4F 3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40
-10 02 BC 1D 92 53 C2 1D 30 12 64 55 76 3F FA 90
-40 00 00 00 1A 20 B2 40 20 00 BC 1D 92 53 C2 1D
-B0 12 C2 54 0E 20 B2 50 10 00 BC 1D 3E 40 2B 00
-B0 12 C2 54 32 24 92 92 BE 1D C2 1D 02 24 92 53
-C2 1D 8E 10 82 5E BC 1D D3 3F B0 12 C2 54 F9 23
-B2 50 10 00 BC 1D 3E 40 28 00 B0 12 7A 54 30 12
-B4 55 67 3F 87 12 90 42 58 54 EC 55 FE 90 26 00
-00 00 3E 40 20 00 04 20 B2 50 82 00 BC 1D C2 3F
-B0 12 C2 54 DF 23 B2 50 80 00 BC 1D 3E 40 28 00
-B0 12 7A 54 B0 12 B2 54 D5 23 3D 40 80 4A 30 4D
-D8 44 04 52 45 54 49 00 87 12 34 40 00 13 56 48
-2A 40 34 40 2C 00 EC 54 E4 55 3C 56 2E 4E 1E D2
-BC 1D 19 42 BA 1D 92 3F 3C 54 03 4D 4F 56 84 12
-32 56 00 40 4A 56 05 4D 4F 56 2E 42 84 12 32 56
-40 40 00 00 03 41 44 44 84 12 32 56 00 50 64 56
-05 41 44 44 2E 42 84 12 32 56 40 50 70 56 04 41
-44 44 43 00 84 12 32 56 00 60 7E 56 06 41 44 44
-43 2E 42 00 84 12 32 56 40 60 22 56 04 53 55 42
-43 00 84 12 32 56 00 70 9C 56 06 53 55 42 43 2E
-42 00 84 12 32 56 40 70 AA 56 03 53 55 42 84 12
-32 56 00 80 BA 56 05 53 55 42 2E 42 84 12 32 56
-40 80 1E 54 03 43 4D 50 84 12 32 56 00 90 D4 56
-05 43 4D 50 2E 42 84 12 32 56 40 90 0C 54 04 44
-41 44 44 00 84 12 32 56 00 A0 EE 56 06 44 41 44
-44 2E 42 00 84 12 32 56 40 A0 E0 56 03 42 49 54
-84 12 32 56 00 B0 0C 57 05 42 49 54 2E 42 84 12
-32 56 40 B0 18 57 03 42 49 43 84 12 32 56 00 C0
-26 57 05 42 49 43 2E 42 84 12 32 56 40 C0 32 57
-03 42 49 53 84 12 32 56 00 D0 40 57 05 42 49 53
-2E 42 84 12 32 56 40 D0 00 00 03 58 4F 52 84 12
-32 56 00 E0 5A 57 05 58 4F 52 2E 42 84 12 32 56
-40 E0 8C 56 03 41 4E 44 84 12 32 56 00 F0 74 57
-05 41 4E 44 2E 42 84 12 32 56 40 F0 90 42 EC 54
-92 57 1A 42 BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0
-0F 00 82 DA BC 1D 4A 3F C6 56 03 52 52 43 84 12
-8C 57 00 10 AA 57 05 52 52 43 2E 42 84 12 8C 57
-40 10 B6 57 04 53 57 50 42 00 84 12 8C 57 80 10
-C4 57 03 52 52 41 84 12 8C 57 00 11 D2 57 05 52
-52 41 2E 42 84 12 8C 57 40 11 DE 57 03 53 58 54
-84 12 8C 57 80 11 00 00 04 50 55 53 48 00 84 12
-8C 57 00 12 F8 57 06 50 55 53 48 2E 42 00 84 12
-8C 57 40 12 4C 57 04 43 41 4C 4C 00 84 12 8C 57
-80 12 34 40 2C 00 EC 54 E4 55 2C 58 59 42 BC 1D
-5A 42 BD 1D 82 4A BC 1D BE 90 00 15 00 00 02 20
-0A 89 02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E
-A8 3F 1A 53 0E 4A 87 12 82 43 F4 45 0D 6F 75 74
-20 6F 66 20 62 6F 75 6E 64 73 F0 49 06 58 05 50
-55 53 48 4D 84 12 22 58 00 15 6E 58 04 50 4F 50
-4D 00 84 12 22 58 00 17 90 42 58 54 8E 58 82 43
-BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D
-3E 40 2C 00 B0 12 2A 40 74 46 8E 47 E0 41 80 4A
-E4 55 B4 58 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10
-5A 06 6F 3F EC 57 04 52 52 43 4D 00 84 12 88 58
-50 00 C6 58 04 52 52 41 4D 00 84 12 88 58 50 01
-D4 58 04 52 4C 41 4D 00 84 12 88 58 50 02 E2 58
-04 52 52 55 4D 00 84 12 88 58 50 03 85 12 00 3C
-F0 58 03 53 3E 3D 85 12 00 38 02 59 02 53 3C 00
-85 12 00 34 7C 58 03 30 3E 3D 85 12 00 30 16 59
-02 30 3C 00 85 12 00 30 00 00 02 55 3C 00 85 12
-00 2C 2A 59 03 55 3E 3D 85 12 00 28 20 59 03 30
-3C 3E 85 12 00 24 3E 59 02 30 3D 00 85 12 00 20
-E4 44 02 49 46 00 1A 42 C4 1D 8A 4E 00 00 A2 53
-C4 1D 0E 4A 30 4D 34 59 04 54 48 45 4E 00 1A 42
-C4 1D 08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90
-00 02 68 2F 88 DA 00 00 30 4D FC 56 04 45 4C 53
-45 00 1A 42 C4 1D BA 40 00 3C 00 00 A2 53 C4 1D
-2F 83 8F 4A 00 00 E3 3F 68 59 05 55 4E 54 49 4C
-3A 4F 08 4E 3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11
-3A 90 00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00
-A2 53 C4 1D 30 4D 80 57 05 41 47 41 49 4E 87 12
-FC 58 B0 59 2A 40 00 00 05 57 48 49 4C 45 87 12
-56 59 78 40 2A 40 0C 59 06 52 45 50 45 41 54 00
-87 12 FC 58 B0 59 6E 59 2A 40 00 00 03 4A 4D 50
-87 12 72 4A FC 58 B0 59 2A 40 3E B0 00 10 03 20
-3E E0 00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40
-00 34 30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D
-50 00 87 12 1A 5A 72 4A 78 40 B0 59 2A 40 50 5A
-3D 41 08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 1D
-00 00 30 4D 88 43 00 00 A4 3F 16 58 03 42 57 31
-84 12 4E 5A 00 00 6C 5A 03 42 57 32 84 12 4E 5A
-00 00 78 5A 03 42 57 33 84 12 4E 5A 00 00 90 5A
-3D 41 1A 42 C4 1D 28 4E 08 93 08 20 BA 4F 00 00
-A2 53 C4 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00
-61 3F 00 00 03 46 57 31 84 12 8E 5A 00 00 B4 5A
-03 46 57 32 84 12 8E 5A 00 00 C0 5A 03 46 57 33
-84 12 8E 5A 00 00 CC 5A 04 47 4F 54 4F 00 87 12
-FC 58 72 4A 4C 48 2A 40 3C 5A 05 3F 47 4F 54 4F
-87 12 1A 5A 72 4A 4C 48 2A 40 82 50 09 7B 55 54
-49 4C 49 54 59 7D 30 4D 5C 46 02 2E 53 00 8F 4E
-FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
-8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40
-3C 00 54 45 82 43 34 40 08 00 54 45 34 40 3E 00
-54 45 A0 45 8A 40 8A 40 C4 41 EA 41 54 5B 62 40
-62 40 2A 40 F0 41 28 42 F2 40 4E 43 34 40 02 00
-00 42 56 5B 2A 40 0A 5B 03 2E 52 53 8F 4E FE FF
-8F 41 FA FF 3E 40 E0 1C D3 3F 3A 47 01 3F 2E 4E
-30 40 4E 43 FC 50 05 57 4F 52 44 53 87 12 94 45
-34 40 03 00 B6 45 34 40 CA 1D F2 40 50 42 34 40
-10 00 44 40 20 41 E4 4D 34 40 00 00 44 40 34 40
-10 00 44 40 20 41 34 40 00 00 F0 41 44 40 28 42
-50 42 20 41 F2 40 D2 41 E0 41 DA 5B 62 40 62 40
-28 42 44 40 50 42 20 41 F2 40 34 40 02 00 00 42
-BC 5B 54 40 E0 41 1C 5C 44 40 34 40 02 00 28 41
-F2 40 9A 40 50 42 20 41 FA 40 44 40 9E 48 34 40
-7F 00 36 41 D8 45 08 41 34 40 0F 00 36 41 34 40
-10 00 78 40 28 41 B6 45 DC 41 A8 5B 62 40 2A 40
-DE 4D 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 22 5C
-03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D F6 4C 03 55
-2E 52 87 12 B0 40 9A 42 34 40 00 00 A6 42 FE 42
-18 43 BC 40 8A 40 28 41 34 40 00 00 26 5C B6 45
-D8 45 2A 40 46 4D 04 44 55 4D 50 00 0D 12 12 12
-DA 1D B2 40 10 00 DA 1D 2E 5F BF F0 F0 FF 00 00
-B0 12 2A 40 78 40 F0 41 94 45 28 42 34 40 07 00
-42 5C A0 45 28 42 34 40 10 00 20 41 28 42 F0 41
-28 42 08 41 34 40 03 00 42 5C 12 42 A0 5C A0 45
-A0 45 28 42 34 40 10 00 20 41 28 42 F0 41 28 42
-08 41 34 40 7E 00 34 5C 90 42 26 5C 54 45 12 42
-BE 5C 34 40 10 00 00 42 88 5C BC 40 7A 42 FA 40
-2A 40 D2 C3 23 02 E2 B2 60 02 02 24 30 40 0E 51
-1A 52 04 20 19 62 06 20 92 43 14 20 A2 93 02 20
-07 24 0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C
-C2 4A 15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10
-C2 49 18 20 B0 12 68 5D 7A 93 FC 23 0A 43 39 40
-05 00 D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3
-6C 06 FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30
-F2 43 4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27
-5A 92 4C 06 F3 23 30 41 19 43 3A 43 8A 10 C2 4A
-4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93
-4C 06 19 83 F3 23 5A 42 4C 06 30 41 1A 52 08 20
-09 43 1C D3 F2 40 51 00 19 20 B0 12 E2 5C 34 20
-B0 12 68 5D 7A 90 FE FF 04 24 FA 23 D9 42 4C 06
-FF 1D F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23
-F2 43 4E 06 3C C0 03 00 D2 D3 23 02 30 41 09 43
-2C D3 F0 40 58 00 43 C2 B0 12 E2 5C 15 20 3A 40
-FE FF 29 43 B0 12 6C 5D D2 49 00 1E 4E 06 03 43
-19 53 39 90 00 02 F8 23 39 40 03 00 B0 12 6A 5D
-7A C0 E1 00 6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3
-23 02 87 12 F4 45 0B 3C 20 53 44 20 45 72 72 6F
-72 21 24 5E 2F 82 8F 4E 02 00 9F 42 DA 1D 00 00
-B2 40 10 00 DA 1D 0E 4C B0 12 2A 40 4E 43 7A 42
-FA 40 F0 49 FC 5A 09 7B 53 44 5F 4C 4F 41 44 7D
-30 4D 39 4F 18 42 C4 1D 4A 4E 0E 48 C8 4A 00 00
-18 53 30 40 F6 4D 92 4B 0E 00 22 20 92 4B 10 00
-24 20 5A 42 23 20 58 42 22 20 A2 93 02 20 08 20
-59 42 24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10
-08 58 30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93
-24 20 03 20 92 93 22 20 14 24 92 42 22 20 D0 04
-92 42 24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04
-1A 20 92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63
-1C 20 30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20
-B0 12 94 5E 5A 4B 03 00 82 5A 1A 20 82 63 1C 20
-30 41 09 93 07 24 F8 90 20 00 00 1E 03 20 18 53
-19 83 F9 23 30 41 1B 42 34 20 82 43 1E 20 B2 90
-00 02 20 20 96 20 BB 80 00 02 12 00 8B 73 14 00
-DB 53 03 00 DB 92 12 20 03 00 11 28 CB 43 03 00
-B0 12 66 5E B0 12 8C 5D 8B 43 10 00 9B 48 00 1E
-0E 00 92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40
-00 02 20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20
-70 2C BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20
-B0 12 D4 5E 1A 42 1A 20 19 42 1C 20 0A 3F 3C 42
-3B 40 40 20 09 43 CB 93 02 00 10 24 9B 92 24 20
-0C 00 04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50
-18 00 3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B
-34 20 8B 49 00 00 4A 93 07 34 49 93 05 24 C9 93
-02 00 02 34 5A 59 02 00 CB 4A 02 00 CB 43 03 00
-9B 42 1A 20 04 00 9B 42 1C 20 06 00 18 42 30 20
-8B 48 08 00 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00
-9B 48 1A 1E 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E
-12 00 9B 48 1E 1E 14 00 82 43 1E 20 6A 93 62 27
-CC 37 8B 43 16 00 7A 93 05 24 99 37 99 52 C2 1D
-16 00 95 3F 19 42 C2 1D 1A 42 BE 1D 0A 89 82 4A
-36 20 19 52 C0 1D 82 49 38 20 B2 40 FC 43 70 44
-86 3F 1B 42 34 20 82 43 20 20 0B 93 AE 27 EB 93
-02 00 04 20 B0 12 B2 64 B0 12 7A 64 5A 4B 02 00
-CB 43 02 00 2B 4B 82 4B 34 20 5A 53 05 24 9D 37
-92 4B 16 00 1E 20 6B 3F 1E 42 36 20 9F 42 38 20
-02 00 B2 40 7E 44 70 44 30 41 EA 4E 85 52 45 41
-44 22 5A 43 19 3C 86 5B 86 57 52 49 54 45 22 00
-6A 43 12 3C 66 5C 84 44 45 4C 22 00 6A 42 0C 3C
-CE 53 05 43 4C 4F 53 45 B0 12 42 60 30 4D 30 5C
+2C 49 A4 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
+3D 41 30 4D 18 49 04 42 4F 4F 54 00 31 40 E0 1C
+B2 40 00 1C 00 1C 82 43 B6 1D 82 93 08 18 29 24
+E2 B2 60 02 26 20 2F 83 8F 4E 00 00 1E 42 08 18
+82 43 08 18 B0 12 2A 40 30 46 0F 4C 4F 41 44 22
+20 42 4F 4F 54 2E 34 54 48 22 DC 41 3E 4A 4C 42
+04 51 55 49 54 00 30 40 BC 49 B8 45 06 28 51 55
+49 54 29 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
+B6 1D 82 43 08 18 B0 12 2A 40 30 46 03 0D 6F 6B
+14 46 DC 45 5A 42 44 40 64 42 92 44 DC 45 2C 49
+DE 40 92 41 30 46 0D 73 74 61 63 6B 20 65 6D 70
+74 79 21 20 8E 4A 34 40 30 FF C2 43 D2 41 30 46
+0B 46 52 41 4D 20 66 75 6C 6C 21 20 8E 4A 86 42
+F2 40 E0 41 2A 4A 30 46 03 0D 20 20 DC 41 30 4A
+8A 44 05 41 42 4F 52 54 3F 40 80 1C BC 3F 8F 93
+02 00 6C 26 B2 40 82 48 54 45 1B 42 34 20 0B 93
+04 24 CB 43 02 00 2B 4B FA 3F B0 12 20 50 A2 B3
+DC 05 FD 27 B2 40 11 00 CE 05 E2 C2 23 02 92 C3
+DC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
+FA 23 92 B3 DC 05 F3 23 87 12 30 46 04 1B 5B 37
+6D 00 14 46 14 46 30 46 04 1B 5B 30 6D 00 14 46
+FE 4E 5C 4F 70 4F BE 4F 4E 46 88 4A 82 4A 86 41
+42 4F 52 54 22 00 87 12 6C 46 34 40 8E 4A D6 48
+2A 40 08 47 01 27 87 12 90 42 B0 46 0E 47 E0 41
+24 4B 2A 40 70 49 96 42 81 5C 92 42 BE 1D C2 1D
+30 4D 00 00 81 5B 82 43 B6 1D 30 4D 28 4B 01 5D
+B2 43 B6 1D 30 4D 34 4B 83 5B 27 5D 87 12 16 4B
+34 40 34 40 D6 48 D6 48 2A 40 BE 4F 02 00 3E 4F
+30 4D 0C 4A 82 49 53 00 87 12 86 42 F2 40 E0 41
+7C 4B 4C 4B 34 40 5A 4B D6 48 2A 40 16 4B 5A 4B
+2A 40 64 4B 09 49 4D 4D 45 44 49 41 54 45 1A 42
+AE 1D FA D0 80 00 00 00 30 4D 68 46 87 52 45 43
+55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
+C4 1D 30 4D 00 4A 88 50 4F 53 54 50 4F 4E 45 00
+87 12 90 42 B0 46 0E 47 54 40 E0 41 24 4B 92 41
+E0 41 E0 4B 34 40 34 40 D6 48 D6 48 34 40 D6 48
+D6 48 2A 40 82 9F B4 1D A0 24 87 12 30 46 0F 73
+74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 94 4A
+87 12 8C 46 90 42 B0 46 0A 4C 08 4E 7A 4E 5A D3
+5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
+82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
+C4 1D 3E 4F 3D 41 30 41 AA 46 08 56 41 52 49 41
+42 4C 45 00 B0 12 00 4C BA 40 86 12 FC FF 71 3C
+B6 49 08 43 4F 4E 53 54 41 4E 54 00 B0 12 00 4C
+BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 52 4C
+06 43 52 45 41 54 45 00 B0 12 00 4C BA 40 85 12
+FC FF 8A 4A FE FF 55 3C 86 49 05 44 4F 45 53 3E
+1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
+30 4D 8A 4C 05 44 45 46 45 52 B0 12 00 4C BA 40
+30 40 FC FF BA 40 A0 4C FE FF 3B 3C 48 4B 01 3A
+B0 12 00 4C BA 40 87 12 FC FF A2 83 C4 1D B2 43
+B6 1D 82 4F B4 1D 30 4D BE 4C 81 3B 82 93 B6 1D
+24 27 87 12 34 40 2A 40 D6 48 E4 4B 36 4B 2A 40
+F2 4C 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 69 3D
+E8 48 06 4D 41 52 4B 45 52 00 B0 12 00 4C BA 40
+84 12 FC FF BA 40 F0 4C FE FF 9A 42 C6 1D 00 00
+28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
+B0 1D A8 49 FE FF 89 48 00 00 30 4D 84 4B 82 49
+46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
+BE 40 E0 41 00 00 2E 53 30 4D A4 4C 84 45 4C 53
+45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC 41 FC FF
+8E 4A 00 00 2A 83 0E 4A 30 4D 0E 46 84 54 48 45
+4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 70 4C 85 42
+45 47 49 4E 30 40 C2 43 7C 4D 85 55 4E 54 49 4C
+39 40 E0 41 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
+8A 4E FE FF 3E 4F 30 4D FE 4A 85 41 47 41 49 4E
+39 40 DC 41 EF 3F 3A 4C 85 57 48 49 4C 45 87 12
+42 4D 78 40 2A 40 9C 4B 86 52 45 50 45 41 54 00
+87 12 C0 4D 82 4D 2A 40 5C 4D 82 44 4F 00 2F 83
+8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 41
+FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
+02 4D 84 4C 4F 4F 50 00 39 40 12 42 A2 52 C4 1D
+1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
+A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
+3E 4F 30 4D 1E 44 85 2B 4C 4F 4F 50 39 40 00 42
+E5 3F 12 4E 85 4C 45 41 56 45 1A 42 C4 1D BA 40
+22 42 00 00 BA 40 DC 41 02 00 B2 50 06 00 C4 1D
+A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
+54 4E 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
+0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
+1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
+00 00 1A 83 FA 23 30 4D C8 4D 0A 56 4F 43 41 42
+55 4C 41 52 59 00 87 12 78 4C 34 40 10 00 34 40
+00 00 F0 41 34 40 00 00 D6 48 12 42 D4 4E C2 43
+34 40 C6 1D 44 40 F2 40 D6 48 FA 40 90 4C 34 40
+CA 1D FA 40 2A 40 14 4B 05 46 4F 52 54 48 84 12
+EE 4E 1E 55 02 60 6E 69 38 69 F2 67 46 4E 20 67
+58 66 E2 4F 7C 69 2E 67 76 66 46 41 E2 67 3E 4B
+6C 66 00 00 BA 4D 04 41 4C 53 4F 00 3A 40 0E 00
+39 40 CA 1D 38 40 CC 1D B6 3F B6 4B 08 50 52 45
+56 49 4F 55 53 00 3A 40 0E 00 39 40 CC 1D 38 40
+CA 1D A3 3F 98 46 04 4F 4E 4C 59 00 82 43 CC 1D
+30 4D EA 4D 0B 44 45 46 49 4E 49 54 49 4F 4E 53
+92 42 CA 1D C8 1D 30 4D FE 4E 5C 4F 70 4F 80 4F
+3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40 10 00
+09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B 89 48
+00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F 3D 41
+30 4D 3C 4F 09 50 57 52 5F 53 54 41 54 45 84 12
+78 4F D8 54 A0 69 D8 4D 09 52 53 54 5F 53 54 41
+54 45 92 42 0E 18 C2 4F 92 42 0C 18 C4 4F EF 3F
+B4 4F 08 50 57 52 5F 48 45 52 45 00 92 42 C6 1D
+C2 4F 92 42 C4 1D C4 4F 30 4D C8 4F 08 52 53 54
+5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42 C4 1D
+0C 18 EC 3F B2 40 B4 51 18 52 B2 40 10 45 04 45
+B2 40 82 45 92 45 B2 40 BE 45 D2 45 B2 40 16 44
+24 44 B2 40 A2 44 94 44 82 43 9A 5B 82 43 A6 5B
+82 43 B2 5B 82 43 E2 5B 82 43 EE 5B 82 43 FA 5B
+B2 40 0A 00 DA 1D 30 41 BA 4E 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 B4 FF FA 23
+B0 12 14 50 B2 40 A0 69 0C 18 B2 40 D8 54 0E 18
+A8 3F 8E 4D 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D DA 4C 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D B6 50 86 5B 45 4C 53 45 5D 00 87 12
+34 40 01 00 90 42 B0 46 1E 49 44 40 E0 41 3C 51
+8A 40 8A 40 30 46 04 5B 49 46 5D 00 8C 50 EA 41
+FA 50 6E 47 2C 41 DC 41 30 51 8A 40 8A 40 30 46
+06 5B 45 4C 53 45 5D 00 8C 50 EA 41 1E 51 6E 47
+C0 50 44 40 E0 41 1A 51 2C 41 DC 41 30 51 30 46
+06 5B 54 48 45 4E 5D 00 8C 50 EA 41 30 51 C0 50
+54 40 EA 41 38 51 2A 40 DC 41 D4 50 6E 47 30 46
+03 0D 6B 6F 14 46 DC 45 5A 42 44 40 64 42 92 44
+52 51 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 D4 50 30 4D C6 50 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 68 51 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 42 B0 46 0E 47 6C 40 86 41
+2A 40 78 51 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 42 B0 46 0E 47 6C 40 2A 40 3E 4D 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 30 46 06 0D 1B 5B
+37 6D 23 00 14 46 AC 43 30 46 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 14 46 34 40 30 FF C2 43 28 41 78 43 30 46
+0B 62 79 74 65 73 20 66 72 65 65 20 AA 4A 5A 50
+04 57 41 52 4D 00 30 40 B4 51 84 50 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 B2 D0
+03 00 04 02 B2 40 FC FF 02 02 B2 C0 03 00 06 02
+B2 D0 00 04 24 02 B2 D3 26 02 B2 43 22 02 B2 43
+42 02 B2 D3 46 02 B2 43 62 02 B2 D3 66 02 F2 43
+26 03 F2 D3 22 03 F2 40 A5 00 41 01 F2 40 10 00
+40 01 D2 43 41 01 F2 40 A5 00 61 01 B2 40 48 00
+62 01 82 43 66 01 39 40 80 00 B2 40 33 00 64 01
+D2 43 61 01 92 D2 9E 01 08 18 A2 93 08 18 01 24
+59 07 38 40 C2 A2 18 83 FE 23 19 83 FA 23 B2 42
+B0 01 F2 D0 10 00 2A 03 F2 40 A5 00 A1 04 F2 C0
+40 00 A2 04 3A 40 28 52 39 40 B4 FF 89 4A 00 00
+29 53 FC 23 92 42 02 18 F0 FF B2 40 18 00 0A 18
+31 40 E0 1C 3F 40 80 1C 37 40 00 40 36 40 BC 40
+35 40 08 40 34 40 14 40 B2 40 0A 00 DA 1D B2 43
+AC 1D 92 C3 30 01 18 42 08 18 D2 B3 01 02 04 20
+38 E3 18 53 82 48 08 18 B2 40 81 00 C0 05 B2 40
+11 00 C6 05 B2 40 00 4A C8 05 F2 D0 03 00 0D 02
+92 C3 C0 05 92 D3 DA 05 3D 40 52 53 18 42 08 18
+38 90 0A 00 8D 26 38 90 16 00 8A 2E 28 93 41 22
+36 26 54 53 E2 B2 60 02 5E 23 B2 40 81 A9 40 06
+B2 40 30 00 46 06 D2 D3 25 02 B2 D0 C0 04 0C 02
+B2 C0 C0 04 06 02 92 C3 40 06 39 40 00 20 89 43
+00 00 29 53 39 90 66 21 FA 23 39 42 B0 12 A8 5C
+D2 C3 23 02 2C 42 B2 40 95 00 14 20 B2 40 00 40
+18 20 1A 43 B0 12 6C 5C 02 24 30 40 46 5D B0 12
+A6 5C 7A 93 FC 23 B2 40 87 AA 14 20 92 43 16 20
+B2 40 00 48 18 20 1A 43 B0 12 6C 5C 29 42 B0 12
+A8 5C 92 43 14 20 82 43 16 20 78 43 3C 42 B2 40
+00 77 18 20 1A 43 B0 12 6C 5C B2 40 40 69 18 20
+B0 12 62 5C 03 24 58 83 F2 23 D7 3F 0C 5C A2 43
+16 20 B2 40 00 50 18 20 B0 12 62 5C CE 23 92 D3
+40 06 82 43 46 06 92 C3 40 06 B0 12 CE 5C 38 40
+00 1E 92 48 C6 01 04 20 92 48 C8 01 06 20 5A 48
+C2 01 92 43 02 20 7A 80 06 00 0D 24 7A 82 0B 24
+A2 43 02 20 6A 53 07 24 5A 53 05 24 3A 50 0B 20
+0C 4A 30 40 4C 5D B0 12 CE 5C D2 48 0D 00 12 20
+19 48 0E 00 82 49 08 20 1A 48 16 00 0A 93 02 20
+1A 48 24 00 82 4A 0A 20 09 5A 82 49 0C 20 09 5A
+A2 93 02 20 04 24 82 49 0E 20 39 50 20 00 19 82
+12 20 19 82 12 20 82 49 10 20 92 42 02 20 2C 20
+B2 40 00 21 00 21 B7 3E 26 4F 09 41 53 53 45 4D
+42 4C 45 52 84 12 EE 4E FE 5A AA 5B B2 5A FE 5B
+78 5A 32 5B 7C 57 00 00 6E 5A 1E 5B D0 5A 0E 5B
+8C 58 00 00 00 00 10 5C 22 4F AC 51 85 48 49 32
+4C 4F 87 12 C2 43 56 4D D6 48 36 4B 2C 4F B4 54
+2A 40 1C 52 04 43 4F 44 45 00 B0 12 00 4C A2 82
+C4 1D 87 12 D2 4C DC 41 EC 54 00 00 07 45 4E 44
+43 4F 44 45 87 12 46 4F E4 4B 2A 40 AA 54 03 41
+53 4D 92 42 C8 1D B8 1D B2 40 B8 54 C8 1D E5 3F
+0C 55 06 45 4E 44 41 53 4D 00 92 42 B8 1D C8 1D
+E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D BA 40
+87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40 46 4F
+A4 42 05 4C 4F 32 48 49 1A 42 C4 1D BA 40 B0 12
+00 00 BA 40 2A 40 02 00 A2 52 C4 1D ED 3F 38 40
+BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A 03 24
+7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D 30 4D
+B0 12 2A 40 B0 46 0E 47 EA 41 B4 55 D0 47 E0 41
+24 4B D6 55 B6 55 29 4E 39 90 86 12 02 20 2E 53
+30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
+84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53 C4 1D
+89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53 C2 1D
+B0 12 2A 40 B0 46 D0 47 E0 41 08 56 FE 55 21 53
+3E 90 10 00 BB 2D 30 41 0A 56 B2 41 C2 1D 22 D3
+30 41 87 12 90 42 7E 55 1A 56 82 43 BC 1D 92 42
+C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90 23 00
+00 00 34 20 92 53 C2 1D B0 12 A0 55 0E 93 04 20
+B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40 10 03
+BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D 1B 3C
+2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92 04 20
+B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40 30 03
+BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D A2 53
+C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
+00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D 30 12
+8A 56 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
+BC 1D 92 53 C2 1D B0 12 E8 55 0E 20 B2 50 10 00
+BC 1D 3E 40 2B 00 B0 12 E8 55 32 24 92 92 BE 1D
+C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D D3 3F
+B0 12 E8 55 F9 23 B2 50 10 00 BC 1D 3E 40 28 00
+B0 12 A0 55 30 12 DA 56 67 3F 87 12 90 42 7E 55
+12 57 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
+82 00 BC 1D C2 3F B0 12 E8 55 DF 23 B2 50 80 00
+BC 1D 3E 40 28 00 B0 12 A0 55 B0 12 D8 55 D5 23
+3D 40 24 4B 30 4D FC 44 04 52 45 54 49 00 87 12
+34 40 00 13 D6 48 2A 40 34 40 2C 00 12 56 0A 57
+62 57 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F 62 55
+03 4D 4F 56 84 12 58 57 00 40 70 57 05 4D 4F 56
+2E 42 84 12 58 57 40 40 00 00 03 41 44 44 84 12
+58 57 00 50 8A 57 05 41 44 44 2E 42 84 12 58 57
+40 50 96 57 04 41 44 44 43 00 84 12 58 57 00 60
+A4 57 06 41 44 44 43 2E 42 00 84 12 58 57 40 60
+48 57 04 53 55 42 43 00 84 12 58 57 00 70 C2 57
+06 53 55 42 43 2E 42 00 84 12 58 57 40 70 D0 57
+03 53 55 42 84 12 58 57 00 80 E0 57 05 53 55 42
+2E 42 84 12 58 57 40 80 44 55 03 43 4D 50 84 12
+58 57 00 90 FA 57 05 43 4D 50 2E 42 84 12 58 57
+40 90 32 55 04 44 41 44 44 00 84 12 58 57 00 A0
+14 58 06 44 41 44 44 2E 42 00 84 12 58 57 40 A0
+06 58 03 42 49 54 84 12 58 57 00 B0 32 58 05 42
+49 54 2E 42 84 12 58 57 40 B0 3E 58 03 42 49 43
+84 12 58 57 00 C0 4C 58 05 42 49 43 2E 42 84 12
+58 57 40 C0 58 58 03 42 49 53 84 12 58 57 00 D0
+66 58 05 42 49 53 2E 42 84 12 58 57 40 D0 00 00
+03 58 4F 52 84 12 58 57 00 E0 80 58 05 58 4F 52
+2E 42 84 12 58 57 40 E0 B2 57 03 41 4E 44 84 12
+58 57 00 F0 9A 58 05 41 4E 44 2E 42 84 12 58 57
+40 F0 90 42 12 56 B8 58 1A 42 BC 1D B2 F0 70 00
+BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F EC 57
+03 52 52 43 84 12 B2 58 00 10 D0 58 05 52 52 43
+2E 42 84 12 B2 58 40 10 DC 58 04 53 57 50 42 00
+84 12 B2 58 80 10 EA 58 03 52 52 41 84 12 B2 58
+00 11 F8 58 05 52 52 41 2E 42 84 12 B2 58 40 11
+04 59 03 53 58 54 84 12 B2 58 80 11 00 00 04 50
+55 53 48 00 84 12 B2 58 00 12 1E 59 06 50 55 53
+48 2E 42 00 84 12 B2 58 40 12 72 58 04 43 41 4C
+4C 00 84 12 B2 58 80 12 34 40 2C 00 12 56 0A 57
+52 59 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D BE 90
+00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
+10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 AC 43
+30 46 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
+94 4A 2C 59 05 50 55 53 48 4D 84 12 48 59 00 15
+94 59 04 50 4F 50 4D 00 84 12 48 59 00 17 90 42
+7E 55 B4 59 82 43 BC 1D 92 42 C4 1D BA 1D A2 53
+C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 40 B0 46
+D0 47 E0 41 24 4B 0A 57 DA 59 0A 4E 3E 4F 1A 83
+2A 92 CA 2F 8A 10 5A 06 6F 3F 12 59 04 52 52 43
+4D 00 84 12 AE 59 50 00 EC 59 04 52 52 41 4D 00
+84 12 AE 59 50 01 FA 59 04 52 4C 41 4D 00 84 12
+AE 59 50 02 08 5A 04 52 52 55 4D 00 84 12 AE 59
+50 03 85 12 00 3C 16 5A 03 53 3E 3D 85 12 00 38
+28 5A 02 53 3C 00 85 12 00 34 A2 59 03 30 3E 3D
+85 12 00 30 3C 5A 02 30 3C 00 85 12 00 30 00 00
+02 55 3C 00 85 12 00 2C 50 5A 03 55 3E 3D 85 12
+00 28 46 5A 03 30 3C 3E 85 12 00 24 64 5A 02 30
+3D 00 85 12 00 20 08 45 02 49 46 00 1A 42 C4 1D
+8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 5A 5A 04 54
+48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48 29 53
+0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
+22 58 04 45 4C 53 45 00 1A 42 C4 1D BA 40 00 3C
+00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F 8E 5A
+05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C4 1D
+2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
+08 DA 89 48 00 00 A2 53 C4 1D 30 4D A6 58 05 41
+47 41 49 4E 87 12 22 5A D6 5A 2A 40 00 00 05 57
+48 49 4C 45 87 12 7C 5A 78 40 2A 40 32 5A 06 52
+45 50 45 41 54 00 87 12 22 5A D6 5A 94 5A 2A 40
+00 00 03 4A 4D 50 87 12 16 4B 22 5A D6 5A 2A 40
+3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
+06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
+00 00 04 3F 4A 4D 50 00 87 12 40 5B 16 4B 78 40
+D6 5A 2A 40 76 5B 3D 41 08 4E 3E 4F 2A 48 0A 93
+04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00 A4 3F
+3C 59 03 42 57 31 84 12 74 5B 00 00 92 5B 03 42
+57 32 84 12 74 5B 00 00 9E 5B 03 42 57 33 84 12
+74 5B 00 00 B6 5B 3D 41 1A 42 C4 1D 28 4E 08 93
+08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00 3E 4F
+30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
+B4 5B 00 00 DA 5B 03 46 57 32 84 12 B4 5B 00 00
+E6 5B 03 46 57 33 84 12 B4 5B 00 00 F2 5B 04 47
+4F 54 4F 00 87 12 22 5A 16 4B CC 48 2A 40 62 5B
+05 3F 47 4F 54 4F 87 12 40 5B 16 4B CC 48 2A 40
+D2 C3 23 02 E2 B2 60 02 02 24 30 40 22 52 1A 52
+04 20 19 62 06 20 92 43 14 20 A2 93 02 20 07 24
+0A 5A 49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A
+15 20 8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49
+18 20 B0 12 A6 5C 7A 93 FC 23 0A 43 39 40 05 00
+D2 49 14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06
+FD 27 C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43
+4E 06 82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92
+4C 06 F3 23 30 41 19 43 3A 43 8A 10 C2 4A 4E 06
+82 93 46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06
+19 83 F3 23 5A 42 4C 06 30 41 1A 52 08 20 09 43
+1C D3 F2 40 51 00 19 20 B0 12 20 5C 34 20 B0 12
+A6 5C 7A 90 FE FF 04 24 FA 23 D9 42 4C 06 FF 1D
+F2 43 4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43
+4E 06 3C C0 03 00 D2 D3 23 02 30 41 09 43 2C D3
+F0 40 58 00 05 C3 B0 12 20 5C 15 20 3A 40 FE FF
+29 43 B0 12 AA 5C D2 49 00 1E 4E 06 03 43 19 53
+39 90 00 02 F8 23 39 40 03 00 B0 12 A8 5C 7A C0
+E1 00 6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3 23 02
+87 12 30 46 0B 3C 20 53 44 20 45 72 72 6F 72 21
+62 5D 2F 82 8F 4E 02 00 9F 42 DA 1D 00 00 B2 40
+10 00 DA 1D 0E 4C B0 12 2A 40 78 43 7A 42 FA 40
+94 4A 4A 4E 39 4F 18 42 C4 1D 0E 48 19 83 1A 53
+30 40 9A 4E 92 4B 0E 00 22 20 92 4B 10 00 24 20
+5A 42 23 20 58 42 22 20 92 93 02 20 08 24 59 42
+24 20 89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58
+30 41 82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20
+03 20 92 93 22 20 14 24 92 42 22 20 D0 04 92 42
+24 20 D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20
+92 42 E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20
+30 41 92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12
+C2 5D 5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41
+09 93 07 24 F8 90 20 00 00 1E 03 20 18 53 19 83
+F9 23 30 41 1B 42 34 20 82 43 1E 20 B2 90 00 02
+20 20 9C 20 BB 80 00 02 12 00 8B 73 14 00 DB 53
+03 00 DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12
+94 5D B0 12 CA 5C 8B 43 10 00 9B 48 00 1E 0E 00
+92 93 02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02
+20 20 8B 93 14 00 0B 20 92 9B 12 00 1E 20 76 2C
+BB 90 00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12
+02 5E 1A 42 1A 20 19 42 1C 20 12 3F 3C 42 3B 40
+40 20 09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00
+04 20 9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00
+3B 90 00 21 EF 23 0C 5C 30 41 0C 43 82 4B 34 20
+8B 49 00 00 49 93 0A 24 99 52 C2 1D 16 00 4A 93
+05 34 C9 93 02 00 02 34 5A 59 02 00 CB 4A 02 00
+CB 43 03 00 9B 42 1A 20 04 00 9B 42 1C 20 06 00
+18 42 30 20 8B 48 08 00 9B 48 1A 1E 0A 00 9B 48
+14 1E 0C 00 9B 48 1A 1E 0E 00 9B 48 14 1E 10 00
+9B 48 1C 1E 12 00 9B 48 1E 1E 14 00 82 43 1E 20
+6A 93 5F 27 C9 37 8B 43 16 00 7A 93 02 24 0F 38
+95 3F 19 42 C2 1D 1A 42 BE 1D 0A 89 82 4A 36 20
+19 52 C0 1D 82 49 38 20 B2 40 26 44 94 44 A2 53
+00 21 19 42 00 21 89 4D 00 00 80 3F 1B 42 34 20
+0B 93 AA 27 EB 93 02 00 04 20 B0 12 02 64 B0 12
+CA 63 5A 4B 02 00 CB 43 02 00 2B 4B 82 4B 34 20
+7A 93 09 20 1E 42 36 20 9F 42 38 20 02 00 B2 40
+A2 44 94 44 0A 3C 0B 93 8F 27 CB 93 02 00 8C 37
+92 4B 16 00 1E 20 B0 12 7C 5E 2F 53 21 52 19 42
+00 21 2D 49 A2 83 00 21 30 4D FC 4F 85 52 45 41
+44 22 5A 43 19 3C 10 52 86 57 52 49 54 45 22 00
+6A 43 12 3C 64 4F 84 44 45 4C 22 00 6A 42 0C 3C
+F4 54 05 43 4C 4F 53 45 B0 12 7C 5F 30 4D 82 4E
 85 4C 4F 41 44 22 7A 43 2F 83 8F 4E 00 00 0E 4A
-82 93 B6 1D 0E 24 87 12 34 40 34 40 56 48 56 48
-12 46 30 46 34 40 52 5E 56 48 34 40 FE 60 56 48
-2A 40 87 12 34 40 22 00 74 46 FC 60 3D 41 78 4E
+82 93 B6 1D 0E 24 87 12 34 40 34 40 D6 48 D6 48
+4E 46 6C 46 34 40 82 5D D6 48 34 40 4E 60 D6 48
+2A 40 87 12 34 40 22 00 B0 46 4C 60 3D 41 78 4E
 08 5E C8 43 00 00 1C 43 92 42 2C 20 22 20 92 42
 2E 20 24 20 CE 93 00 00 91 24 FE 90 3A 00 01 00
 01 20 2E 53 FE 90 5C 00 00 00 09 20 1E 53 92 42
 02 20 22 20 82 43 24 20 CE 93 00 00 73 24 82 4E
-32 20 B0 12 94 5E 34 40 20 00 A2 93 02 20 04 24
-92 92 22 20 02 20 02 24 14 42 12 20 B0 12 74 5F
+32 20 B0 12 C2 5D 34 40 20 00 A2 93 02 20 04 24
+92 92 22 20 02 20 02 24 14 42 12 20 B0 12 A2 5E
 2C 43 0A 43 08 4A 58 0E 08 58 82 48 30 20 C8 93
 00 1E 64 24 39 42 F8 9E 00 1E 04 20 18 53 19 83
 FA 23 1E 53 FE 90 2E 00 FF FF 1A 24 39 50 03 00
-B0 12 F2 5E 07 20 FE 90 5C 00 FF FF 2B 24 CE 93
+B0 12 20 5E 07 20 FE 90 5C 00 FF FF 2B 24 CE 93
 FF FF 28 24 1E 42 32 20 1A 53 3A 90 10 00 DA 23
 92 53 1A 20 82 63 1C 20 14 83 D0 23 2C 42 3E 3C
-FE 90 2E 00 FE FF EE 27 B0 12 F2 5E EB 23 39 40
+FE 90 2E 00 FE FF EE 27 B0 12 20 5E EB 23 39 40
 03 00 F8 9E 00 1E 04 20 18 53 19 83 FA 23 0A 3C
 CE 93 FF FF DF 23 FE 90 5C 00 FF FF DB 23 B0 12
-F2 5E D8 23 18 42 30 20 92 48 1A 1E 22 20 92 48
+20 5E D8 23 18 42 30 20 92 48 1A 1E 22 20 92 48
 14 1E 24 20 F8 B0 10 00 0B 1E 15 24 82 93 24 20
 06 20 82 93 22 20 03 20 92 42 02 20 22 20 CE 93
 FF FF 8A 23 92 42 22 20 2C 20 92 42 24 20 2E 20
-8F 43 00 00 03 3C 2A 4F B0 12 7E 5F 3A 4F 34 40
-14 40 3E 4F 0A 93 06 24 7A 93 14 20 0C 93 03 20
-3D 40 BC 48 30 4D 87 12 F4 45 0B 3C 20 4F 70 65
-6E 45 72 72 6F 72 60 45 98 43 9E 48 D8 45 A0 45
-DC 41 22 5E 1A 93 B5 20 0C 93 ED 23 30 4D 8C 60
+8F 43 00 00 03 3C 2A 4F B0 12 AC 5E 34 40 14 40
+3A 4F 3E 4F 0A 93 06 24 7A 93 14 20 0C 93 03 20
+3D 40 3C 49 30 4D 87 12 30 46 0B 3C 20 4F 70 65
+6E 45 72 72 6F 72 9C 45 C2 43 1E 49 14 46 DC 45
+DC 41 60 5D 1A 93 B5 20 0C 93 ED 23 30 4D DC 5F
 04 52 45 41 44 00 2F 83 8F 4E 00 00 1E 42 34 20
-B0 12 06 5F 1E 82 34 20 30 4D 2C 43 12 12 2A 20
+B0 12 34 5E 1E 82 34 20 30 4D 2C 43 12 12 2A 20
 18 42 02 20 08 58 2A 41 82 9A 0A 20 A0 24 B0 12
-8C 5D 09 43 28 93 03 24 89 93 02 1E 03 20 89 93
+CA 5C 09 43 28 93 03 24 89 93 02 1E 03 20 89 93
 00 1E 07 24 09 58 39 90 00 02 F4 23 91 53 00 00
 EA 3F 0C 43 6A 41 B9 43 00 1E 28 93 0F 24 B9 40
 FF 0F 02 1E 09 11 8A 10 09 5A 5A 41 01 00 0A 11
 09 10 82 4A 28 20 82 49 26 20 07 3C 09 11 C2 49
 26 20 C2 4A 27 20 82 43 28 20 3A 41 82 4A 2A 20
-30 41 0A 12 1A 52 08 20 B0 12 CE 5D 3A 41 1A 52
-0C 20 30 40 CE 5D F2 B0 40 00 A2 04 29 20 F2 B0
+30 41 0A 12 1A 52 08 20 B0 12 0C 5D 3A 41 1A 52
+0C 20 30 40 0C 5D F2 B0 40 00 A2 04 29 20 F2 B0
 10 00 A2 04 FC 27 5A 42 B0 04 4A 11 59 42 B4 04
 F2 40 20 00 C0 04 D2 42 B1 04 C8 04 1A 52 E4 04
 D2 42 B5 04 C8 04 19 52 E4 04 D2 42 B2 04 C0 04
 B2 40 00 08 C8 04 1A 52 E4 04 92 42 B6 04 C0 04
 B2 80 BC 07 C0 04 B2 40 00 02 C8 04 19 52 E4 04
 30 41 22 2A 2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D
-7C 2E 29 92 06 38 39 80 03 00 B0 12 D0 63 39 40
+7C 2E 29 92 06 38 39 80 03 00 B0 12 20 63 39 40
 03 00 7A 4B C8 4A 00 1E 0A 93 12 24 0D 12 3D 40
-0F 00 3C 40 82 63 7A 9C F4 27 1D 83 FC 23 3D 41
+0F 00 3C 40 D2 62 7A 9C F4 27 1D 83 FC 23 3D 41
 6A 9C E7 27 3A 80 21 00 EC 3B 18 53 19 83 E9 23
 09 93 06 24 F8 40 20 00 00 1E 18 53 19 83 FA 23
 30 41 2A 93 EB 20 2C 93 0D 24 0C 93 BA 24 87 12
-F4 45 0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00
-DC 41 66 62 B0 12 9A 62 92 42 26 20 22 20 92 42
-28 20 24 20 B0 12 12 63 B0 12 74 5F 18 42 30 20
-F8 40 20 00 0B 1E B0 12 26 63 88 43 0C 1E 88 4A
+30 46 0C 3C 20 57 72 69 74 65 45 72 72 6F 72 00
+DC 41 B6 61 B0 12 EA 61 92 42 26 20 22 20 92 42
+28 20 24 20 B0 12 62 62 B0 12 A2 5E 18 42 30 20
+F8 40 20 00 0B 1E B0 12 76 62 88 43 0C 1E 88 4A
 0E 1E 88 49 10 1E 88 49 12 1E 98 42 24 20 14 1E
 98 42 22 20 1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43
 1B 42 32 20 CB 93 00 00 CA 27 FB 90 2E 00 00 00
-C6 27 39 40 0B 00 B0 12 A2 63 B0 12 BC 64 2A 43
-B0 12 7E 5F 0C 93 BB 23 30 4D 1A 4B 04 00 19 4B
-06 00 B0 12 92 5D B0 12 26 63 18 4B 08 00 88 49
+C6 27 39 40 0B 00 B0 12 F2 62 B0 12 0C 64 2A 43
+B0 12 AC 5E 0C 93 BB 23 30 4D 1A 4B 04 00 19 4B
+06 00 B0 12 D0 5C B0 12 76 62 18 4B 08 00 88 49
 12 1E 88 4A 16 1E 88 49 18 1E 98 4B 12 00 1C 1E
 98 4B 14 00 1E 1E 1A 4B 04 00 19 4B 06 00 30 40
-D0 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20
-19 42 1C 20 30 40 D0 5D B2 40 00 02 1E 20 1B 42
-34 20 B0 12 B2 64 82 43 1E 20 DB 53 03 00 DB 92
-12 20 03 00 22 20 CB 43 03 00 B0 12 66 5E 08 12
-0A 12 B0 12 9A 62 2A 91 05 24 B0 12 12 63 2A 41
-B0 12 8C 5D 3A 41 38 41 98 42 26 20 00 1E 92 93
-02 20 03 24 98 42 28 20 02 1E B0 12 12 63 9B 42
-26 20 0E 00 9B 42 28 20 10 00 30 40 D4 5E 98 60
-05 57 52 49 54 45 B0 12 C8 64 30 4D 80 62 07 53
+0E 5D 9B 52 1E 20 12 00 8B 63 14 00 1A 42 1A 20
+19 42 1C 20 30 40 0E 5D B2 40 00 02 1E 20 1B 42
+34 20 B0 12 02 64 82 43 1E 20 DB 53 03 00 DB 92
+12 20 03 00 22 20 CB 43 03 00 B0 12 94 5D 08 12
+0A 12 B0 12 EA 61 2A 91 05 24 B0 12 62 62 2A 41
+B0 12 CA 5C 3A 41 38 41 98 42 26 20 00 1E 92 93
+02 20 03 24 98 42 28 20 02 1E B0 12 62 62 9B 42
+26 20 0E 00 9B 42 28 20 10 00 30 40 02 5E E8 5F
+05 57 52 49 54 45 B0 12 18 64 30 4D D0 61 07 53
 44 5F 45 4D 49 54 B2 90 00 02 1E 20 02 28 B0 12
-C8 64 18 42 1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F
+18 64 18 42 1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F
 30 4D 58 4B 13 00 59 4B 14 00 89 10 09 58 58 4B
 15 00 5B 42 12 20 0A 43 3C 42 08 11 09 10 4A 10
 1C 83 0B 11 FA 2B 0A 11 1C 83 FD 37 1B 42 34 20
 19 5B 0A 00 18 6B 0C 00 8B 49 0E 00 8B 48 10 00
 CB 4A 03 00 1A 4B 12 00 BB C0 FF 01 12 00 3A F0
-FF 01 82 4A 1E 20 B0 12 70 5F 30 4D 0C 93 38 20
+FF 01 82 4A 1E 20 B0 12 9E 5E 30 4D 0C 93 38 20
 38 90 E0 01 03 2C C8 93 20 1E 02 24 7C 40 E5 00
-C8 4C 00 1E B0 12 BC 64 B0 12 72 5E 82 4A 2A 20
-0B 4A B0 12 8C 5D 1A 48 00 1E 88 43 00 1E 92 93
+C8 4C 00 1E B0 12 0C 64 B0 12 A0 5D 82 4A 2A 20
+0B 4A B0 12 CA 5C 1A 48 00 1E 88 43 00 1E 92 93
 02 20 09 24 19 48 02 1E 88 43 02 1E 39 F0 FF 0F
 39 90 FF 0F 02 20 3A 93 0E 24 82 4A 22 20 82 49
-24 20 B0 12 72 5E 0B 9A E6 27 0A 12 0A 4B B0 12
-12 63 3A 41 DD 3F 0A 4B B0 12 12 63 B0 12 42 60
-30 4D 3E 5C 08 54 45 52 4D 32 53 44 22 00 87 12
-AC 60 98 43 46 66 21 53 2F 83 AF 43 00 00 3D 40
-56 66 30 40 FE 60 58 66 92 C3 DC 05 08 43 B0 12
-B2 44 92 B3 DC 05 FD 27 59 42 CC 05 69 92 0D 24
+24 20 B0 12 A0 5D 0B 9A E6 27 0A 12 0A 4B B0 12
+62 62 3A 41 DD 3F 0A 4B B0 12 62 62 B0 12 7C 5F
+30 4D 9A 4D 08 54 45 52 4D 32 53 44 22 00 87 12
+FC 5F C2 43 96 65 21 53 2F 83 AF 43 00 00 3D 40
+A6 65 30 40 4E 60 A8 65 92 C3 DC 05 08 43 B0 12
+D6 44 92 B3 DC 05 FD 27 59 42 CC 05 69 92 0D 24
 C8 49 00 1E 18 53 38 90 FF 01 F3 2B 03 24 B0 12
-C8 64 EC 3F B0 12 C4 44 EC 3F B0 12 C4 44 82 48
-1E 20 B0 12 42 60 3D 41 30 4D 46 5E 0A 7B 53 44
-5F 54 4F 4F 4C 53 7D 00 30 4D 3E 65 06 53 45 43
-54 4F 52 00 09 4E 2A 4F B0 12 92 5D 87 12 9A 42
-FE 42 18 43 D8 45 A0 45 34 40 00 1E 34 40 00 02
-6C 5C 2A 40 B2 60 07 43 4C 55 53 54 45 52 82 4E
-24 20 A2 4F 22 20 B0 12 94 5E 9F 42 1A 20 00 00
-1E 42 1C 20 DF 3F 70 4A 03 46 41 54 2F 82 8F 4E
-02 00 9F 42 08 20 00 00 0E 43 D4 3F A6 60 03 44
-49 52 2F 82 8F 4E 02 00 92 42 2C 20 22 20 92 42
-2E 20 24 20 E0 3F
+18 64 EC 3F B0 12 E8 44 EC 3F B0 12 E8 44 82 48
+1E 20 B0 12 7C 5F 3D 41 30 4D 94 51 09 7B 55 54
+49 4C 49 54 59 7D 30 4D 56 4F 02 2E 53 00 8F 4E
+FE FF 0E 4F 2E 83 8F 4E FA FF 3E 40 80 1C 2E 83
+8F 4E FC FF 3F 80 06 00 2E 8F 0E 11 87 12 34 40
+3C 00 90 45 AC 43 34 40 08 00 90 45 34 40 3E 00
+90 45 DC 45 8A 40 8A 40 C4 41 EA 41 44 66 62 40
+62 40 2A 40 F0 41 28 42 F2 40 78 43 34 40 02 00
+00 42 46 66 2A 40 FA 65 03 2E 52 53 8F 4E FE FF
+8F 41 FA FF 3E 40 E0 1C D3 3F 76 47 01 3F 2E 4E
+30 40 78 43 80 64 05 57 4F 52 44 53 87 12 D0 45
+34 40 03 00 F2 45 34 40 CA 1D F2 40 50 42 34 40
+10 00 44 40 20 41 88 4E 34 40 00 00 44 40 34 40
+10 00 44 40 20 41 34 40 00 00 F0 41 44 40 28 42
+50 42 20 41 F2 40 D2 41 E0 41 CA 66 62 40 62 40
+28 42 44 40 50 42 20 41 F2 40 34 40 02 00 00 42
+AC 66 54 40 E0 41 0C 67 44 40 34 40 02 00 28 41
+F2 40 9A 40 50 42 20 41 FA 40 44 40 1E 49 34 40
+7F 00 36 41 14 46 08 41 34 40 0F 00 36 41 34 40
+10 00 78 40 28 41 F2 45 DC 41 98 66 62 40 2A 40
+10 60 03 4D 41 58 2E 9F 07 38 2F 53 30 4D 12 67
+03 4D 49 4E 2E 9F F9 3B 3E 4F 30 4D 84 65 03 55
+2E 52 87 12 B0 40 9A 42 34 40 00 00 F6 42 28 43
+42 43 BC 40 8A 40 28 41 34 40 00 00 16 67 F2 45
+14 46 2A 40 F6 5F 04 44 55 4D 50 00 0D 12 12 12
+DA 1D B2 40 10 00 DA 1D 2E 5F B0 12 2A 40 78 40
+8A 40 8A 40 78 43 34 40 01 00 28 41 78 43 34 40
+F0 FF 36 41 F0 41 D0 45 28 42 34 40 07 00 32 67
+DC 45 28 42 34 40 10 00 20 41 28 42 F0 41 28 42
+08 41 34 40 03 00 32 67 12 42 9E 67 DC 45 DC 45
+28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
+34 40 7E 00 24 67 90 42 16 67 90 45 12 42 BC 67
+34 40 10 00 00 42 86 67 BC 40 7A 42 FA 40 2A 40
+EC 65 0A 7B 46 49 58 50 4F 49 4E 54 7D 00 30 4D
+DC 54 05 48 4F 4C 44 53 39 4F 09 5E 18 42 AA 1D
+19 83 1E 83 04 28 18 83 E8 49 00 00 F9 3F 82 48
+AA 1D 3E 4F 30 4D F8 4E 02 46 2B 00 BF 5F 02 00
+3E 6F 30 4D 18 68 02 46 2D 00 BF 8F 02 00 8F 7E
+00 00 3E 4F 30 4D 26 68 02 46 2F 00 1C 4F 02 00
+0C EE 0B 43 18 4F 04 00 19 4F 02 00 39 B0 40 1F
+04 24 38 E3 39 E3 18 53 09 63 3E B0 40 1F 06 24
+BF E3 00 00 3E E3 9F 53 00 00 0E 63 37 15 0A 43
+26 4F 35 40 20 00 0A 9E 01 20 09 96 02 28 09 86
+0A 7E 07 67 04 64 15 83 09 30 0B 5B 08 68 09 69
+0A 6A F1 2B 09 86 0A 7E 12 D3 F3 3F 2F 52 8F 47
+00 00 0E 44 34 17 3C F3 06 34 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 30 4D 38 68 03 46 23 53 2F 83
+8F 4E 00 00 2B 42 B2 90 0A 00 DA 1D 01 20 1B 53
+0C 43 A2 4F C0 04 92 42 DA 1D C8 04 9F 42 E4 04
+00 00 1E 42 E6 04 3E 90 0A 00 02 28 3E 50 07 00
+3E 50 30 00 CC 4E 88 1D 1C 53 0C 9B EA 2B 0E 4B
+8F 43 00 00 39 40 88 1D 78 3F BA 68 02 46 2A 00
+92 4F 04 00 D4 04 92 4F 02 00 D6 04 A2 4F E0 04
+82 4E E2 04 2F 52 00 3C 00 3C 9F 42 E6 04 00 00
+1E 42 E8 04 30 4D 0C 69 02 46 2E 00 87 12 9A 42
+44 40 B0 40 6E 41 78 40 BE 68 34 40 2C 00 58 43
+28 43 BC 40 66 43 42 43 14 46 DC 45 2A 40 8E 64
+03 53 3E 46 2F 83 8F 43 00 00 30 4D 56 67 03 44
+3E 46 2E 4F 8F 43 00 00 30 4D 60 69 09 32 43 4F
+4E 53 54 41 4E 54 87 12 78 4C 78 40 D6 48 D6 48
+90 4C 94 69 2F 83 BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFB4
-14 51 14 51 14 51 14 51 14 51 14 51 14 51 14 51
-14 51 14 51 14 51 14 51 14 51 14 51 14 51 14 51
-14 51 14 51 14 51 14 51 14 51 14 51 14 51 14 51
-14 51 14 51 14 51 14 51 14 51 14 51 F2 44 14 51
-14 51 14 51 14 51 14 51 14 51 14 51
+28 52 28 52 28 52 28 52 28 52 28 52 28 52 28 52
+28 52 28 52 28 52 28 52 28 52 28 52 28 52 28 52
+28 52 28 52 28 52 28 52 28 52 28 52 28 52 28 52
+28 52 28 52 28 52 28 52 28 52 28 52 16 45 28 52
+28 52 28 52 28 52 28 52 28 52 28 52
 q
index 3ff4944..4460144 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 F2 44 80 3E 00 24 05 00 18 00 F2 66 7E 53
-B2 44 C4 44 5E 5D 9C 5D
+10 00 16 45 80 3E 00 24 05 00 18 00 48 69 80 54
+D6 44 E8 44 78 5C B6 5C
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -56,234 +56,249 @@ AC 40 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 42 04 42 41 53 45 00 85 12 DA 1D C8 40
 05 53 54 41 54 45 85 12 B6 1D 74 42 02 42 4C 00
 85 12 20 00 B4 41 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 42 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 40 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 42 02 23 53 00 87 12
-A6 42 04 43 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 42 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 42 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 42 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 42 02 55 2E 00 87 12
-9A 42 34 40 00 00 FE 42 18 43 D8 45 A0 45 2A 40
-68 41 02 44 2E 00 87 12 9A 42 78 40 8A 40 6E 41
-FE 42 9A 40 3C 43 18 43 D8 45 A0 45 2A 40 50 41
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 43 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 41 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 43 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 B2 44 92 B3 DC 05 FD 27 1E 42 CC 05 B0 12
-C4 44 30 4D 92 43 05 28 4B 45 59 29 18 42 CC 05
-EC 3F 38 42 03 4B 45 59 30 40 EC 43 0D 12 3D 40
-26 44 1B 42 34 20 9B 42 1E 20 16 00 3A 40 00 21
-8F 4A 02 00 8F 4E 00 00 0E 43 82 93 20 20 13 24
-19 42 1E 20 02 3C 28 44 2D 83 19 92 20 20 15 2C
-58 49 00 1E 19 53 78 90 20 00 08 2C 78 90 0A 00
-F4 23 82 49 1E 20 2F 53 3D 41 30 4D 2E 9F 64 24
-CA 48 00 00 1A 53 1E 53 5F 3C 0A 12 B0 12 D2 5E
-3A 41 DB 3F A6 43 06 41 43 43 45 50 54 00 30 40
-7E 44 E6 43 08 28 41 43 43 45 50 54 29 00 3C 40
-30 45 3B 40 FA 44 2D 15 0A 4E 2E 4F 0A 5E 3B 40
-0D 00 3C 40 20 00 3D 40 24 45 92 B3 DC 05 05 24
-18 42 CC 05 38 90 0A 00 04 20 21 53 39 40 DE 44
-4D 15 B2 40 11 00 CE 05 E2 C2 23 02 A2 B3 DC 05
-FD 27 30 41 B2 40 13 00 CE 05 E2 D2 23 02 A2 B3
-DC 05 FD 27 30 41 00 00 05 53 4C 45 45 50 30 40
-EC 44 00 00 07 28 53 4C 45 45 50 29 12 D2 0A 18
-F6 3F 21 52 3A 17 58 42 CC 05 48 9B E3 27 48 9C
-06 2C 78 92 11 20 2E 9F 0F 24 1E 83 05 3C 0E 9A
-03 24 CE 48 00 00 1E 53 82 48 CE 05 A2 B3 DC 05
-FD 27 30 4D 26 45 2D 83 92 B3 DC 05 FD 27 E3 23
-B2 40 18 00 0A 18 3E 8F 3D 41 30 4D 74 44 06 28
-45 4D 49 54 29 00 08 4E 3E 4F E6 3F 62 43 04 45
-4D 49 54 00 30 40 46 45 4E 45 04 45 43 48 4F 00
-B2 40 82 48 18 45 30 4D 80 43 06 4E 4F 45 43 48
-4F 00 B2 40 30 4D 18 45 30 4D 3E 45 04 28 43 52
-29 00 2F 83 8F 4E 00 00 3E 40 0D 00 E3 3F B6 43
-02 43 52 00 30 40 82 45 36 43 05 53 50 41 43 45
-2F 83 8F 4E 00 00 3E 40 20 00 D4 3F 9A 45 06 53
-50 41 43 45 53 00 0E 93 09 24 0D 12 3D 40 C2 45
-EF 3F C4 45 2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D
-4A 43 04 54 59 50 45 00 0E 93 AB 24 2A 4F 8F 5E
-00 00 0E 4A 87 12 F0 41 28 42 08 41 54 45 12 42
-E8 45 2A 40 2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00
-0D 5E 1D B3 0D 63 30 4D 90 45 07 43 41 50 53 5F
-4F 4E B2 43 AC 1D 30 4D 0A 46 08 43 41 50 53 5F
-4F 46 46 00 82 43 AC 1D 30 4D AE 45 82 53 22 00
-87 12 34 40 F4 45 56 48 24 46 34 40 22 00 74 46
-12 46 44 46 3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F
-92 B3 C4 1D A2 63 C4 1D 30 4D 6A 45 82 2E 22 00
-87 12 30 46 34 40 D8 45 56 48 2A 40 00 00 04 57
-4F 52 44 00 3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C
-28 4C 09 9A 1C 24 7E 9A FC 27 1A 83 3B 40 60 00
-C8 4C 00 00 09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53
-4B 9C F6 2F 82 93 AC 1D F3 27 7C 90 7B 00 F0 2F
-7C 80 20 00 ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42
-C4 1D 08 8E CE 48 00 00 30 4D 00 00 04 46 49 4E
-44 00 2F 83 0C 4E 65 4C 74 40 80 00 3B 40 CA 1D
-3E 4B 0E 93 1E 24 58 4C 01 00 78 F0 1E 00 0E 58
-2E 53 1E 4E FE FF 0E 93 F3 27 09 4E 78 49 48 C4
-48 95 F7 23 0A 4C 1A 53 FA 99 00 00 F2 23 58 83
-FA 23 19 B3 09 63 0C 49 6A 4E 1E 43 4A 93 01 30
-2E 83 8F 4C 00 00 35 40 08 40 34 40 14 40 30 4D
-2F 53 2F 53 3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42
-45 52 3C 4F 38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C
-7A 80 30 00 7A 90 0A 00 02 28 7A 80 07 00 0A 9B
-13 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
-E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E7 23
-8F 49 04 00 8F 48 02 00 8F 4C 00 00 30 4D 03 12
-0D 12 1B 42 DA 1D 0B 12 32 C0 00 02 6D 4E 0D 5E
-0C 4E 7A 40 2E 00 0D 9C 0A 28 7A 9C FC 23 32 D0
-00 02 FC 4C FE FF 0D 9C FC 2F DE 83 00 00 09 43
-08 43 3D 40 04 48 3F 82 8F 4E 06 00 0C 4E 7E 4C
-6A 4C 7A 90 2D 00 10 2C 3B 40 10 00 7A 80 24 00
-06 24 2B 43 5A 83 03 24 3B 52 6A 53 B0 23 1C 53
-1E 83 6A 4C 7A 90 2D 00 AA 23 1C 53 1E 83 B1 43
-04 00 A5 3F 06 48 2F 53 0E 93 2C 17 82 4C DA 1D
-03 24 2F 52 0E F3 30 4D 9F 4F 02 00 04 00 BF 4F
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 40 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 42 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 42 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 42 02 23 53 00 87 12 F6 42 2E 43 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 43 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 42 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 42
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 42 02 55 2E 00 87 12 9A 42 34 40 00 00
+28 43 42 43 14 46 DC 45 2A 40 68 41 02 44 2E 00
+87 12 9A 42 78 40 8A 40 6E 41 28 43 9A 40 66 43
+42 43 14 46 DC 45 2A 40 50 41 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 43 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 41
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 43
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 D6 44 92 B3
+DC 05 FD 27 1E 42 CC 05 B0 12 E8 44 30 4D BC 43
+05 28 4B 45 59 29 18 42 CC 05 EC 3F 38 42 03 4B
+45 59 30 40 16 44 0D 12 3D 40 4A 44 1B 42 34 20
+9B 42 1E 20 16 00 3A 40 12 21 8F 4A 02 00 8F 4E
+00 00 0E 43 19 42 1E 20 02 3C 4C 44 2D 83 19 92
+20 20 15 2C 58 49 00 1E 19 53 78 90 20 00 08 2C
+78 90 0A 00 F4 23 82 49 1E 20 2F 53 3D 41 30 4D
+2E 9F 70 24 CA 48 00 00 1A 53 1E 53 6B 3C 0A 12
+B0 12 DC 5D 3A 41 DE 3F D0 43 06 41 43 43 45 50
+54 00 30 40 A2 44 10 44 08 28 41 43 43 45 50 54
+29 00 3C 40 6C 45 3B 40 1E 45 2D 15 0A 4E 2E 4F
+0A 5E 3B 40 0D 00 3C 40 20 00 3D 40 60 45 92 B3
+DC 05 05 24 18 42 CC 05 38 90 0A 00 04 20 21 53
+39 40 02 45 4D 15 B2 40 11 00 CE 05 E2 C2 23 02
+A2 B3 DC 05 FD 27 30 41 B2 40 13 00 CE 05 E2 D2
+23 02 A2 B3 DC 05 FD 27 30 41 00 00 05 53 4C 45
+45 50 30 40 10 45 00 00 07 28 53 4C 45 45 50 29
+12 D2 0A 18 F6 3F 21 52 3A 17 58 42 CC 05 48 9B
+E3 27 48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83
+3D 40 36 45 0F 3C 38 45 38 40 20 00 3D 52 0A 3C
+42 45 78 42 3D 40 60 45 05 3C 0E 9A 03 24 CE 48
+00 00 1E 53 82 48 CE 05 A2 B3 DC 05 FD 27 30 4D
+62 45 2D 83 92 B3 DC 05 FD 27 D7 23 B2 40 18 00
+0A 18 3E 8F 3D 41 30 4D 98 44 06 28 45 4D 49 54
+29 00 08 4E 3E 4F E6 3F 8C 43 04 45 4D 49 54 00
+30 40 82 45 8A 45 04 45 43 48 4F 00 B2 40 82 48
+54 45 30 4D AA 43 06 4E 4F 45 43 48 4F 00 B2 40
+30 4D 54 45 30 4D 7A 45 04 28 43 52 29 00 2F 83
+8F 4E 00 00 3E 40 0D 00 E3 3F E0 43 02 43 52 00
+30 40 BE 45 60 43 05 53 50 41 43 45 2F 83 8F 4E
+00 00 3E 40 20 00 D4 3F D6 45 06 53 50 41 43 45
+53 00 0E 93 09 24 0D 12 3D 40 FE 45 EF 3F 00 46
+2D 83 1E 83 EB 23 3D 41 3E 4F 30 4D 74 43 04 54
+59 50 45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A
+87 12 F0 41 28 42 08 41 90 45 12 42 24 46 2A 40
+2F 82 8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3
+0D 63 30 4D CC 45 07 43 41 50 53 5F 4F 4E B2 43
+AC 1D 30 4D 46 46 08 43 41 50 53 5F 4F 46 46 00
+82 43 AC 1D 30 4D EA 45 82 53 22 00 87 12 34 40
+30 46 D6 48 60 46 34 40 22 00 B0 46 4E 46 80 46
+3D 41 6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D
+A2 63 C4 1D 30 4D A6 45 82 2E 22 00 87 12 6C 46
+34 40 14 46 D6 48 2A 40 00 00 04 57 4F 52 44 00
+3C 40 BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A
+1C 24 7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00
+09 9A 0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F
+82 93 AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00
+ED 3F 1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E
+CE 48 00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83
+0C 4E 65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93
+1E 24 58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E
+FE FF 0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23
+0A 4C 1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3
+09 63 0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C
+00 00 35 40 08 40 34 40 14 40 30 4D 2F 53 2F 53
+3E 4F 30 4D 6A 42 07 3E 4E 55 4D 42 45 52 3C 4F
+38 4F 29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00
+7A 90 0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28
+0A 9B 11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04
+19 42 E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83
+E4 23 8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D
+0C 43 1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43
+3D 40 20 48 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C
+7A 80 2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52
+6A 53 04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83
+6A 4C 7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F
+22 48 0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00
+02 20 2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E
+09 43 8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80
+30 00 79 90 0A 00 05 28 79 80 07 00 79 90 0A 00
+0A 28 09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12
+AC 42 2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00
+0E 4A 4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50
+06 00 0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F
 00 00 3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00
 9F 53 02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53
-30 4D 5A 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
+30 4D 96 45 07 45 58 45 43 55 54 45 0A 4E 3E 4F
 00 4A 26 41 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E
-00 00 3E 4F 30 4D 54 48 87 4C 49 54 45 52 41 4C
+00 00 3E 4F 30 4D D4 48 87 4C 49 54 45 52 41 4C
 82 93 B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40
 34 40 00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0
-00 02 F1 23 30 4D 1A 46 05 43 4F 55 4E 54 2F 83
+00 02 F1 23 30 4D 56 46 05 43 4F 55 4E 54 2F 83
 1E 53 8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D
-B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 74 46
-C2 48 3D 40 CE 48 05 23 3D 41 3E 4F 30 4D D0 48
-0A 4E 3E 4F 3D 40 E6 48 5A 27 3D 40 BC 48 1A E2
-B6 1D B9 27 B3 23 E8 48 3E 4F 3D 40 BC 48 C0 23
+B2 4F C0 1D 3E 4F 82 43 C2 1D 87 12 90 42 B0 46
+42 49 3D 40 4E 49 E3 22 3D 41 3E 4F 30 4D 50 49
+0A 4E 3E 4F 3D 40 66 49 3B 27 3D 40 3C 49 1A E2
+B6 1D B9 27 B3 23 68 49 3E 4F 3D 40 3C 49 C0 23
 DE 53 00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40
-26 4B CD 3F 44 48 08 45 56 41 4C 55 41 54 45 00
+A6 4B CD 3F C4 48 08 45 56 41 4C 55 41 54 45 00
 39 40 BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 40
-AC 48 24 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
+2C 49 A4 49 B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D
 3D 41 30 4D 4C 42 04 51 55 49 54 00 31 40 E0 1C
 B2 40 00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12
-2A 40 F4 45 03 0D 6F 6B D8 45 A0 45 5A 42 44 40
-64 42 6E 44 A0 45 AC 48 DE 40 92 41 F4 45 0D 73
-74 61 63 6B 20 65 6D 70 74 79 21 20 B6 49 34 40
-30 FF 98 43 D2 41 F4 45 0B 46 52 41 4D 20 66 75
-6C 6C 21 20 B6 49 86 42 F2 40 E0 41 52 49 F4 45
-03 0D 20 20 DC 41 58 49 66 44 05 41 42 4F 52 54
-3F 40 80 1C C3 3F 8F 93 02 00 BA 26 B2 40 82 48
-18 45 1B 42 34 20 0B 93 04 24 CB 43 02 00 2B 4B
-FA 3F B0 12 DA 4E A2 B3 DC 05 FD 27 B2 40 11 00
+2A 40 30 46 03 0D 6F 6B 14 46 DC 45 5A 42 44 40
+64 42 92 44 DC 45 2C 49 DE 40 92 41 30 46 0D 73
+74 61 63 6B 20 65 6D 70 74 79 21 20 36 4A 34 40
+30 FF C2 43 D2 41 30 46 0B 46 52 41 4D 20 66 75
+6C 6C 21 20 36 4A 86 42 F2 40 E0 41 D2 49 30 46
+03 0D 20 20 DC 41 D8 49 8A 44 05 41 42 4F 52 54
+3F 40 80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48
+54 45 1B 42 34 20 0B 93 04 24 CB 43 02 00 2B 4B
+FA 3F B0 12 C8 4F A2 B3 DC 05 FD 27 B2 40 11 00
 CE 05 E2 C2 23 02 92 C3 DC 05 38 40 A0 AA 39 42
 03 43 19 83 FD 23 18 83 FA 23 92 B3 DC 05 F3 23
-87 12 F4 45 04 1B 5B 37 6D 00 D8 45 D8 45 F4 45
-04 1B 5B 30 6D 00 D8 45 E8 4D 2A 4E 30 4E 78 4E
-12 46 B0 49 AA 49 86 41 42 4F 52 54 22 00 87 12
-30 46 34 40 B6 49 56 48 2A 40 CC 46 01 27 87 12
-90 42 74 46 D2 46 E0 41 4C 4A 2A 40 F0 48 96 42
+87 12 30 46 04 1B 5B 37 6D 00 14 46 14 46 30 46
+04 1B 5B 30 6D 00 14 46 A6 4E 04 4F 18 4F 66 4F
+4E 46 30 4A 2A 4A 86 41 42 4F 52 54 22 00 87 12
+6C 46 34 40 36 4A D6 48 2A 40 08 47 01 27 87 12
+90 42 B0 46 0E 47 E0 41 CC 4A 2A 40 70 49 96 42
 81 5C 92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43
-B6 1D 30 4D 50 4A 01 5D B2 43 B6 1D 30 4D 5C 4A
-83 5B 27 5D 87 12 3E 4A 34 40 34 40 56 48 56 48
-2A 40 BE 4F 02 00 3E 4F 30 4D 7C 45 82 49 53 00
-87 12 86 42 F2 40 E0 41 A4 4A 74 4A 34 40 82 4A
-56 48 2A 40 3E 4A 82 4A 2A 40 8C 4A 09 49 4D 4D
+B6 1D 30 4D D0 4A 01 5D B2 43 B6 1D 30 4D DC 4A
+83 5B 27 5D 87 12 BE 4A 34 40 34 40 D6 48 D6 48
+2A 40 BE 4F 02 00 3E 4F 30 4D B8 45 82 49 53 00
+87 12 86 42 F2 40 E0 41 24 4B F4 4A 34 40 02 4B
+D6 48 2A 40 BE 4A 02 4B 2A 40 0C 4B 09 49 4D 4D
 45 44 49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00
-30 4D 2C 46 87 52 45 43 55 52 53 45 19 42 C4 1D
-99 42 B2 1D 00 00 A2 53 C4 1D 30 4D 36 49 88 50
-4F 53 54 50 4F 4E 45 00 87 12 90 42 74 46 D2 46
-54 40 E0 41 4C 4A 92 41 E0 41 08 4B 34 40 34 40
-56 48 56 48 34 40 56 48 56 48 2A 40 82 9F B4 1D
-A0 24 87 12 F4 45 0F 73 74 61 63 6B 20 6D 69 73
-6D 61 74 63 68 21 BC 49 87 12 50 46 90 42 74 46
-32 4B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D
+30 4D 68 46 87 52 45 43 55 52 53 45 19 42 C4 1D
+99 42 B2 1D 00 00 A2 53 C4 1D 30 4D B6 49 88 50
+4F 53 54 50 4F 4E 45 00 87 12 90 42 B0 46 0E 47
+54 40 E0 41 CC 4A 92 41 E0 41 88 4B 34 40 34 40
+D6 48 D6 48 34 40 D6 48 D6 48 2A 40 82 9F B4 1D
+A0 24 87 12 30 46 0F 73 74 61 63 6B 20 6D 69 73
+6D 61 74 63 68 21 3C 4A 87 12 8C 46 90 42 B0 46
+B2 4B 08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D
 6E 4E 3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D
 82 4A B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41
-6E 46 08 56 41 52 49 41 42 4C 45 00 B0 12 28 4B
-BA 40 86 12 FC FF 71 3C 98 48 08 43 4F 4E 53 54
-41 4E 54 00 B0 12 28 4B BA 40 85 12 FC FF 8A 4E
-FE FF 3E 4F 62 3C 7A 4B 06 43 52 45 41 54 45 00
-B0 12 28 4B BA 40 85 12 FC FF 8A 4A FE FF 55 3C
-06 49 05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12
-00 00 8A 4D 02 00 3D 41 30 4D B2 4B 05 44 45 46
-45 52 B0 12 28 4B BA 40 30 40 FC FF BA 40 C8 4B
-FE FF 3B 3C 70 4A 01 3A B0 12 28 4B BA 40 87 12
+AA 46 08 56 41 52 49 41 42 4C 45 00 B0 12 A8 4B
+BA 40 86 12 FC FF 71 3C 18 49 08 43 4F 4E 53 54
+41 4E 54 00 B0 12 A8 4B BA 40 85 12 FC FF 8A 4E
+FE FF 3E 4F 62 3C FA 4B 06 43 52 45 41 54 45 00
+B0 12 A8 4B BA 40 85 12 FC FF 8A 4A FE FF 55 3C
+86 49 05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12
+00 00 8A 4D 02 00 3D 41 30 4D 32 4C 05 44 45 46
+45 52 B0 12 A8 4B BA 40 30 40 FC FF BA 40 48 4C
+FE FF 3B 3C F0 4A 01 3A B0 12 A8 4B BA 40 87 12
 FC FF A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D
-E6 4B 81 3B 82 93 B6 1D 24 27 87 12 34 40 2A 40
-56 48 0C 4B 5E 4A 2A 40 1A 4C 3D 41 B2 4E 0E 18
-A2 4E 0C 18 3E 4F 32 3D 68 48 06 4D 41 52 4B 45
-52 00 B0 12 28 4B BA 40 84 12 FC FF BA 40 18 4C
+66 4C 81 3B 82 93 B6 1D 24 27 87 12 34 40 2A 40
+D6 48 8C 4B DE 4A 2A 40 9A 4C 3D 41 B2 4E 0E 18
+A2 4E 0C 18 3E 4F 69 3D E8 48 06 4D 41 52 4B 45
+52 00 B0 12 A8 4B BA 40 84 12 FC FF BA 40 98 4C
 FE FF 9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52
 C4 1D 18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48
-00 00 30 4D AC 4A 82 49 46 00 2F 83 8F 4E 00 00
+00 00 30 4D 2C 4B 82 49 46 00 2F 83 8F 4E 00 00
 1E 42 C4 1D A2 52 C4 1D BE 40 E0 41 00 00 2E 53
-30 4D CC 4B 84 45 4C 53 45 00 A2 52 C4 1D 1A 42
+30 4D 4C 4C 84 45 4C 53 45 00 A2 52 C4 1D 1A 42
 C4 1D BA 40 DC 41 FC FF 8E 4A 00 00 2A 83 0E 4A
-30 4D D2 45 84 54 48 45 4E 00 9E 42 C4 1D 00 00
-3E 4F 30 4D 98 4B 85 42 45 47 49 4E 30 40 98 43
-A4 4C 85 55 4E 54 49 4C 39 40 E0 41 A2 52 C4 1D
+30 4D 0E 46 84 54 48 45 4E 00 9E 42 C4 1D 00 00
+3E 4F 30 4D 18 4C 85 42 45 47 49 4E 30 40 C2 43
+24 4D 85 55 4E 54 49 4C 39 40 E0 41 A2 52 C4 1D
 1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D
-26 4A 85 41 47 41 49 4E 39 40 DC 41 EF 3F 62 4B
-85 57 48 49 4C 45 87 12 6A 4C 78 40 2A 40 C4 4A
-86 52 45 50 45 41 54 00 87 12 E8 4C AA 4C 2A 40
-84 4C 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D
+A6 4A 85 41 47 41 49 4E 39 40 DC 41 EF 3F E2 4B
+85 57 48 49 4C 45 87 12 EA 4C 78 40 2A 40 44 4B
+86 52 45 50 45 41 54 00 87 12 68 4D 2A 4D 2A 40
+04 4D 82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D
 1E 42 C4 1D BE 40 F0 41 FE FF A2 53 00 1C 1A 42
-00 1C 8A 43 00 00 30 4D 2A 4C 84 4C 4F 4F 50 00
+00 1C 8A 43 00 00 30 4D AA 4C 84 4C 4F 4F 50 00
 39 40 12 42 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
 8A 4E FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93
-03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D F4 43 85 2B
-4C 4F 4F 50 39 40 00 42 E5 3F 3A 4D 85 4C 45 41
+03 24 8E 4A 00 00 F6 3F 3E 4F 30 4D 1E 44 85 2B
+4C 4F 4F 50 39 40 00 42 E5 3F BA 4D 85 4C 45 41
 56 45 1A 42 C4 1D BA 40 22 42 00 00 BA 40 DC 41
 02 00 B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42
-00 1C 89 4A 00 00 30 4D 7C 4D 04 4D 4F 56 45 00
+00 1C 89 4A 00 00 30 4D FC 4D 04 4D 4F 56 45 00
 0A 4E 38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24
 06 2C F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A
 09 5A 19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D
-34 40 CA 1D FA 40 2A 40 84 12 E0 4D C4 53 A2 66
-DA 66 C4 66 82 53 6E 4D 8C 60 34 5B 9C 4E 78 66
-00 66 FC 64 46 41 68 66 66 4A 48 5B 00 00 3A 40
-0E 00 39 40 CA 1D 38 40 CC 1D D9 3F 3A 40 0E 00
-39 40 CC 1D 38 40 CA 1D CC 3F 82 43 CC 1D 30 4D
-92 42 CA 1D C8 1D 30 4D 3A 4E 3A 4E 82 4A C6 1D
-2E 4E 82 4E C4 1D 3D 40 10 00 09 4A 08 49 29 83
-18 48 FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23
-2A 4A 0A 93 F0 23 3E 4F 3D 41 30 4D DE 4A 09 50
-57 52 5F 53 54 41 54 45 84 12 38 4E 7E 53 F2 66
-00 4D 09 52 53 54 5F 53 54 41 54 45 92 42 0E 18
-7C 4E 92 42 0C 18 7E 4E EF 3F 6E 4E 08 50 57 52
-5F 48 45 52 45 00 92 42 C6 1D 7C 4E 92 42 C4 1D
-7E 4E 30 4D 82 4E 08 52 53 54 5F 48 45 52 45 00
-92 42 C6 1D 0E 18 92 42 C4 1D 0C 18 EC 3F B2 40
-6E 50 D0 50 B2 40 EC 44 E0 44 B2 40 46 45 56 45
-B2 40 82 45 96 45 B2 40 EC 43 FA 43 B2 40 7E 44
-70 44 82 43 40 5A 82 43 4C 5A 82 43 58 5A 82 43
-88 5A 82 43 94 5A 82 43 A0 5A B2 40 0A 00 DA 1D
-30 41 F0 4C 04 57 49 50 45 00 39 40 80 FF B9 43
-00 00 29 53 39 90 B4 FF FA 23 B0 12 CE 4E B2 40
-F2 66 0C 18 B2 40 7E 53 0E 18 A8 3F B6 4C 07 43
-4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B
-0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98
-FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 02 4C
-86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D 70 4F
-86 5B 45 4C 53 45 5D 00 87 12 34 40 01 00 90 42
-74 46 9E 48 44 40 E0 41 F6 4F 8A 40 8A 40 F4 45
-04 5B 49 46 5D 00 46 4F EA 41 B4 4F 32 47 2C 41
-DC 41 EA 4F 8A 40 8A 40 F4 45 06 5B 45 4C 53 45
-5D 00 46 4F EA 41 D8 4F 32 47 7A 4F 44 40 E0 41
-D4 4F 2C 41 DC 41 EA 4F F4 45 06 5B 54 48 45 4E
-5D 00 46 4F EA 41 EA 4F 7A 4F 54 40 EA 41 F2 4F
-2A 40 DC 41 8E 4F 32 47 F4 45 03 0D 6B 6F D8 45
-A0 45 5A 42 44 40 64 42 6E 44 0C 50 82 43 C2 1D
-82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 8E 4F 30 4D
-80 4F 84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D
-22 50 8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12
-90 42 74 46 D2 46 6C 40 86 41 2A 40 32 50 89 5B
-44 45 46 49 4E 45 44 5D 87 12 90 42 74 46 D2 46
-6C 40 2A 40 66 4C 06 28 57 41 52 4D 29 00 1E 42
-08 18 87 12 F4 45 05 0D 1B 5B 37 6D D8 45 82 43
-F4 45 27 20 46 61 73 74 46 6F 72 74 68 20 56 31
-36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
-2E 54 68 6F 6F 72 65 6E 73 20 D8 45 34 40 30 FF
-98 43 28 41 4E 43 F4 45 0B 62 79 74 65 73 20 66
-72 65 65 20 D2 49 14 4F 04 57 41 52 4D 00 30 40
-6E 50 3E 4F 04 43 4F 4C 44 00 B2 40 04 A5 20 01
+70 4D 0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12
+20 4C 34 40 10 00 34 40 00 00 F0 41 34 40 00 00
+D6 48 12 42 7C 4E C2 43 34 40 C6 1D 44 40 F2 40
+D6 48 FA 40 38 4C 34 40 CA 1D FA 40 2A 40 BC 4A
+05 46 4F 52 54 48 84 12 96 4E C6 54 AA 5F 16 69
+E0 68 9A 67 EE 4D C8 66 00 66 8A 4F 24 69 D6 66
+1E 66 46 41 8A 67 E6 4A 14 66 00 00 62 4D 04 41
+4C 53 4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D
+B6 3F 5E 4B 08 50 52 45 56 49 4F 55 53 00 3A 40
+0E 00 39 40 CC 1D 38 40 CA 1D A3 3F 98 46 04 4F
+4E 4C 59 00 82 43 CC 1D 30 4D 92 4D 0B 44 45 46
+49 4E 49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D
+A6 4E 04 4F 18 4F 28 4F 3A 4E 82 4A C6 1D 2E 4E
+82 4E C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48
+FE FF 0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A
+0A 93 F0 23 3E 4F 3D 41 30 4D E4 4E 09 50 57 52
+5F 53 54 41 54 45 84 12 20 4F 80 54 48 69 80 4D
+09 52 53 54 5F 53 54 41 54 45 92 42 0E 18 6A 4F
+92 42 0C 18 6C 4F EF 3F 5C 4F 08 50 57 52 5F 48
+45 52 45 00 92 42 C6 1D 6A 4F 92 42 C4 1D 6C 4F
+30 4D 70 4F 08 52 53 54 5F 48 45 52 45 00 92 42
+C6 1D 0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 5C 51
+C0 51 B2 40 10 45 04 45 B2 40 82 45 92 45 B2 40
+BE 45 D2 45 B2 40 16 44 24 44 B2 40 A2 44 94 44
+82 43 42 5B 82 43 4E 5B 82 43 5A 5B 82 43 8A 5B
+82 43 96 5B 82 43 A2 5B B2 40 0A 00 DA 1D 30 41
+62 4E 04 57 49 50 45 00 39 40 80 FF B9 43 00 00
+29 53 39 90 B4 FF FA 23 B0 12 BC 4F B2 40 48 69
+0C 18 B2 40 80 54 0E 18 A8 3F 36 4D 07 43 4F 4D
+50 41 52 45 0C 4E 38 4F 3B 4F 39 4F 0E 4B 0E 5C
+0C 24 1B 83 07 30 1C 83 07 30 19 53 F9 98 FF FF
+F5 27 02 2C 3E 43 30 4D 1E 43 30 4D 82 4C 86 5B
+54 48 45 4E 5D 00 30 4D 1E 83 30 4D 5E 50 86 5B
+45 4C 53 45 5D 00 87 12 34 40 01 00 90 42 B0 46
+1E 49 44 40 E0 41 E4 50 8A 40 8A 40 30 46 04 5B
+49 46 5D 00 34 50 EA 41 A2 50 6E 47 2C 41 DC 41
+D8 50 8A 40 8A 40 30 46 06 5B 45 4C 53 45 5D 00
+34 50 EA 41 C6 50 6E 47 68 50 44 40 E0 41 C2 50
+2C 41 DC 41 D8 50 30 46 06 5B 54 48 45 4E 5D 00
+34 50 EA 41 D8 50 68 50 54 40 EA 41 E0 50 2A 40
+DC 41 7C 50 6E 47 30 46 03 0D 6B 6F 14 46 DC 45
+5A 42 44 40 64 42 92 44 FA 50 82 43 C2 1D 82 4E
+BE 1D B2 4F C0 1D 3E 4F 3D 40 7C 50 30 4D 6E 50
+84 5B 49 46 5D 00 0E 93 3E 4F AD 27 30 4D 10 51
+8B 5B 55 4E 44 45 46 49 4E 45 44 5D 87 12 90 42
+B0 46 0E 47 6C 40 86 41 2A 40 20 51 89 5B 44 45
+46 49 4E 45 44 5D 87 12 90 42 B0 46 0E 47 6C 40
+2A 40 E6 4C 06 28 57 41 52 4D 29 00 1E 42 08 18
+87 12 30 46 06 0D 1B 5B 37 6D 23 00 14 46 AC 43
+30 46 27 20 46 61 73 74 46 6F 72 74 68 20 56 32
+2E 30 20 31 36 4D 48 7A 20 28 43 29 20 4A 2E 4D
+2E 54 68 6F 6F 72 65 6E 73 20 14 46 34 40 30 FF
+C2 43 28 41 78 43 30 46 0B 62 79 74 65 73 20 66
+72 65 65 20 52 4A 02 50 04 57 41 52 4D 00 30 40
+5C 51 2C 50 04 43 4F 4C 44 00 B2 40 04 A5 20 01
 B2 40 88 5A 5C 01 B2 D0 03 00 04 02 B2 40 FC FF
 02 02 B2 C0 03 00 06 02 B2 D0 00 04 24 02 B2 D3
 26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02 B2 43
@@ -293,7 +308,7 @@ A5 00 61 01 B2 40 48 00 62 01 82 43 66 01 39 40
 80 00 B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01
 08 18 A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83
 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03
-F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 E0 50
+F2 40 A5 00 A1 04 F2 C0 40 00 A2 04 3A 40 D0 51
 39 40 B4 FF 89 4A 00 00 29 53 FC 23 92 42 02 18
 F0 FF B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C
 37 40 00 40 36 40 BC 40 35 40 08 40 34 40 14 40
@@ -301,347 +316,369 @@ B2 40 0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42
 08 18 D2 B3 01 02 04 20 38 E3 18 53 82 48 08 18
 B2 40 81 00 C0 05 B2 40 11 00 C6 05 B2 40 00 4A
 C8 05 F2 D0 03 00 0D 02 92 C3 C0 05 92 D3 DA 05
-3D 40 0A 52 18 42 08 18 38 90 0A 00 8E 26 38 90
-16 00 8B 2E 28 93 42 22 37 26 0C 52 E2 B2 60 02
+3D 40 FA 52 18 42 08 18 38 90 0A 00 8D 26 38 90
+16 00 8A 2E 28 93 41 22 36 26 FC 52 E2 B2 60 02
 5E 23 B2 40 81 A9 40 06 B2 40 30 00 46 06 D2 D3
 25 02 B2 D0 C0 04 0C 02 B2 C0 C0 04 06 02 92 C3
-40 06 39 40 00 20 89 43 00 00 29 53 39 90 54 21
-FA 23 39 42 B0 12 36 5D D2 C3 23 02 2C 42 B2 40
-95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12 FA 5C
-02 24 30 40 D4 5D B0 12 34 5D 7A 93 FC 23 B2 40
+40 06 39 40 00 20 89 43 00 00 29 53 39 90 66 21
+FA 23 39 42 B0 12 50 5C D2 C3 23 02 2C 42 B2 40
+95 00 14 20 B2 40 00 40 18 20 1A 43 B0 12 14 5C
+02 24 30 40 EE 5C B0 12 4E 5C 7A 93 FC 23 B2 40
 87 AA 14 20 92 43 16 20 B2 40 00 48 18 20 1A 43
-B0 12 FA 5C 29 42 B0 12 36 5D 92 43 14 20 82 43
+B0 12 14 5C 29 42 B0 12 50 5C 92 43 14 20 82 43
 16 20 78 43 3C 42 B2 40 00 77 18 20 1A 43 B0 12
-FA 5C B2 40 40 69 18 20 B0 12 F0 5C 03 24 58 83
+14 5C B2 40 40 69 18 20 B0 12 0A 5C 03 24 58 83
 F2 23 D7 3F 0C 5C A2 43 16 20 B2 40 00 50 18 20
-B0 12 F0 5C CE 23 92 D3 40 06 82 43 46 06 92 C3
-40 06 B0 12 5C 5D 38 40 00 1E 92 48 C6 01 04 20
+B0 12 0A 5C CE 23 92 D3 40 06 82 43 46 06 92 C3
+40 06 B0 12 76 5C 38 40 00 1E 92 48 C6 01 04 20
 92 48 C8 01 06 20 5A 48 C2 01 92 43 02 20 7A 80
 06 00 0D 24 7A 82 0B 24 A2 43 02 20 6A 53 07 24
-5A 53 05 24 3A 50 0B 20 0C 4A 30 40 DA 5D B0 12
-5C 5D D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20
+5A 53 05 24 3A 50 0B 20 0C 4A 30 40 F4 5C B0 12
+76 5C D2 48 0D 00 12 20 19 48 0E 00 82 49 08 20
 1A 48 16 00 0A 93 02 20 1A 48 24 00 82 4A 0A 20
 09 5A 82 49 0C 20 09 5A A2 93 02 20 04 24 82 49
 0E 20 39 50 20 00 19 82 12 20 19 82 12 20 82 49
-10 20 92 42 02 20 2C 20 BA 3E 84 12 E0 4D A4 59
-50 5A 58 59 A4 5A 1E 59 D8 59 22 56 00 00 14 59
-C4 59 76 59 B4 59 32 57 00 00 00 00 B6 5A 0C 4E
-66 50 85 48 49 32 4C 4F 87 12 98 43 7E 4C 56 48
-5E 4A 0E 4E 5A 53 2A 40 D4 50 04 43 4F 44 45 00
-B0 12 28 4B A2 82 C4 1D 87 12 FA 4B DC 41 92 53
-00 00 07 45 4E 44 43 4F 44 45 87 12 1C 4E 0C 4B
-2A 40 E2 4C 03 41 53 4D 92 42 C8 1D B8 1D B2 40
-5E 53 C8 1D E5 3F B2 53 06 45 4E 44 41 53 4D 00
-92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E
-1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43
-B6 1D 30 40 1C 4E 00 00 05 4C 4F 32 48 49 1A 42
-C4 1D BA 40 B0 12 00 00 BA 40 2A 40 02 00 A2 52
-C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52
-C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88
-82 4A C2 1D 30 4D B0 12 2A 40 74 46 D2 46 EA 41
-5A 54 8E 47 E0 41 4C 4A 7C 54 5C 54 29 4E 39 90
-86 12 02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E
-02 00 30 41 39 90 84 12 01 20 2E 52 30 41 19 42
-C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12
-C2 1D 92 53 C2 1D B0 12 2A 40 74 46 8E 47 E0 41
-AE 54 A4 54 21 53 3E 90 10 00 BB 2D 30 41 B0 54
-B2 41 C2 1D 22 D3 30 41 87 12 90 42 24 54 C0 54
-82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E
-3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12
-46 54 0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93
-04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40
-20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D
-15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93
-04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D
-19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41
-30 4D FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D
-92 53 C2 1D 30 12 30 55 76 3F FA 90 40 00 00 00
-1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12 8E 54
-0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 8E 54
-32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10
-82 5E BC 1D D3 3F B0 12 8E 54 F9 23 B2 50 10 00
-BC 1D 3E 40 28 00 B0 12 46 54 30 12 80 55 67 3F
-87 12 90 42 24 54 B8 55 FE 90 26 00 00 00 3E 40
-20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12 8E 54
-DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12 46 54
-B0 12 7E 54 D5 23 3D 40 4C 4A 30 4D D8 44 04 52
-45 54 49 00 87 12 34 40 00 13 56 48 2A 40 34 40
-2C 00 B8 54 B0 55 08 56 2E 4E 1E D2 BC 1D 19 42
-BA 1D 92 3F 08 54 03 4D 4F 56 84 12 FE 55 00 40
-16 56 05 4D 4F 56 2E 42 84 12 FE 55 40 40 00 00
-03 41 44 44 84 12 FE 55 00 50 30 56 05 41 44 44
-2E 42 84 12 FE 55 40 50 3C 56 04 41 44 44 43 00
-84 12 FE 55 00 60 4A 56 06 41 44 44 43 2E 42 00
-84 12 FE 55 40 60 EE 55 04 53 55 42 43 00 84 12
-FE 55 00 70 68 56 06 53 55 42 43 2E 42 00 84 12
-FE 55 40 70 76 56 03 53 55 42 84 12 FE 55 00 80
-86 56 05 53 55 42 2E 42 84 12 FE 55 40 80 EA 53
-03 43 4D 50 84 12 FE 55 00 90 A0 56 05 43 4D 50
-2E 42 84 12 FE 55 40 90 D8 53 04 44 41 44 44 00
-84 12 FE 55 00 A0 BA 56 06 44 41 44 44 2E 42 00
-84 12 FE 55 40 A0 AC 56 03 42 49 54 84 12 FE 55
-00 B0 D8 56 05 42 49 54 2E 42 84 12 FE 55 40 B0
-E4 56 03 42 49 43 84 12 FE 55 00 C0 F2 56 05 42
-49 43 2E 42 84 12 FE 55 40 C0 FE 56 03 42 49 53
-84 12 FE 55 00 D0 0C 57 05 42 49 53 2E 42 84 12
-FE 55 40 D0 00 00 03 58 4F 52 84 12 FE 55 00 E0
-26 57 05 58 4F 52 2E 42 84 12 FE 55 40 E0 58 56
-03 41 4E 44 84 12 FE 55 00 F0 40 57 05 41 4E 44
-2E 42 84 12 FE 55 40 F0 90 42 B8 54 5E 57 1A 42
-BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA
-BC 1D 4A 3F 92 56 03 52 52 43 84 12 58 57 00 10
-76 57 05 52 52 43 2E 42 84 12 58 57 40 10 82 57
-04 53 57 50 42 00 84 12 58 57 80 10 90 57 03 52
-52 41 84 12 58 57 00 11 9E 57 05 52 52 41 2E 42
-84 12 58 57 40 11 AA 57 03 53 58 54 84 12 58 57
-80 11 00 00 04 50 55 53 48 00 84 12 58 57 00 12
-C4 57 06 50 55 53 48 2E 42 00 84 12 58 57 40 12
-18 57 04 43 41 4C 4C 00 84 12 58 57 80 12 34 40
-2C 00 B8 54 B0 55 F8 57 59 42 BC 1D 5A 42 BD 1D
-82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C
-09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53
-0E 4A 87 12 82 43 F4 45 0D 6F 75 74 20 6F 66 20
-62 6F 75 6E 64 73 BC 49 D2 57 05 50 55 53 48 4D
-84 12 EE 57 00 15 3A 58 04 50 4F 50 4D 00 84 12
-EE 57 00 17 90 42 24 54 5A 58 82 43 BC 1D 92 42
-C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00
-B0 12 2A 40 74 46 8E 47 E0 41 4C 4A B0 55 80 58
-0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F
-B8 57 04 52 52 43 4D 00 84 12 54 58 50 00 92 58
-04 52 52 41 4D 00 84 12 54 58 50 01 A0 58 04 52
-4C 41 4D 00 84 12 54 58 50 02 AE 58 04 52 52 55
-4D 00 84 12 54 58 50 03 85 12 00 3C BC 58 03 53
-3E 3D 85 12 00 38 CE 58 02 53 3C 00 85 12 00 34
-48 58 03 30 3E 3D 85 12 00 30 E2 58 02 30 3C 00
-85 12 00 30 00 00 02 55 3C 00 85 12 00 2C F6 58
-03 55 3E 3D 85 12 00 28 EC 58 03 30 3C 3E 85 12
-00 24 0A 59 02 30 3D 00 85 12 00 20 E4 44 02 49
-46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A
-30 4D 00 59 04 54 48 45 4E 00 1A 42 C4 1D 08 4E
-3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F
-88 DA 00 00 30 4D C8 56 04 45 4C 53 45 00 1A 42
-C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A
-00 00 E3 3F 34 59 05 55 4E 54 49 4C 3A 4F 08 4E
-3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE
-47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D
-30 4D 4C 57 05 41 47 41 49 4E 87 12 C8 58 7C 59
-2A 40 00 00 05 57 48 49 4C 45 87 12 22 59 78 40
-2A 40 D8 58 06 52 45 50 45 41 54 00 87 12 C8 58
-7C 59 3A 59 2A 40 00 00 03 4A 4D 50 87 12 3E 4A
-C8 58 7C 59 2A 40 3E B0 00 10 03 20 3E E0 00 04
-30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D
-3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12
-E6 59 3E 4A 78 40 7C 59 2A 40 1C 5A 3D 41 08 4E
-3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D
-88 43 00 00 A4 3F E2 57 03 42 57 31 84 12 1A 5A
-00 00 38 5A 03 42 57 32 84 12 1A 5A 00 00 44 5A
-03 42 57 33 84 12 1A 5A 00 00 5C 5A 3D 41 1A 42
-C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D
-8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00
-03 46 57 31 84 12 5A 5A 00 00 80 5A 03 46 57 32
-84 12 5A 5A 00 00 8C 5A 03 46 57 33 84 12 5A 5A
-00 00 98 5A 04 47 4F 54 4F 00 87 12 C8 58 3E 4A
-4C 48 2A 40 08 5A 05 3F 47 4F 54 4F 87 12 E6 59
-3E 4A 4C 48 2A 40 4E 50 09 7B 55 54 49 4C 49 54
-59 7D 30 4D 5C 46 02 2E 53 00 8F 4E FE FF 0E 4F
-2E 83 8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF
-3F 80 06 00 2E 8F 0E 11 87 12 34 40 3C 00 54 45
-82 43 34 40 08 00 54 45 34 40 3E 00 54 45 A0 45
-8A 40 8A 40 C4 41 EA 41 20 5B 62 40 62 40 2A 40
-F0 41 28 42 F2 40 4E 43 34 40 02 00 00 42 22 5B
-2A 40 D6 5A 03 2E 52 53 8F 4E FE FF 8F 41 FA FF
-3E 40 E0 1C D3 3F 3A 47 01 3F 2E 4E 30 40 4E 43
-C8 50 05 57 4F 52 44 53 87 12 94 45 34 40 03 00
-B6 45 34 40 CA 1D F2 40 50 42 34 40 10 00 44 40
-20 41 B0 4D 34 40 00 00 44 40 34 40 10 00 44 40
-20 41 34 40 00 00 F0 41 44 40 28 42 50 42 20 41
-F2 40 D2 41 E0 41 A6 5B 62 40 62 40 28 42 44 40
-50 42 20 41 F2 40 34 40 02 00 00 42 88 5B 54 40
-E0 41 E8 5B 44 40 34 40 02 00 28 41 F2 40 9A 40
-50 42 20 41 FA 40 44 40 9E 48 34 40 7F 00 36 41
-D8 45 08 41 34 40 0F 00 36 41 34 40 10 00 78 40
-28 41 B6 45 DC 41 74 5B 62 40 2A 40 AA 4D 03 4D
-41 58 2E 9F 07 38 2F 53 30 4D EE 5B 03 4D 49 4E
-2E 9F F9 3B 3E 4F 30 4D C2 4C 03 55 2E 52 87 12
-B0 40 9A 42 34 40 00 00 A6 42 FE 42 18 43 BC 40
-8A 40 28 41 34 40 00 00 F2 5B B6 45 D8 45 2A 40
-12 4D 04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40
-10 00 DA 1D 2E 5F BF F0 F0 FF 00 00 B0 12 2A 40
-78 40 F0 41 94 45 28 42 34 40 07 00 0E 5C A0 45
-28 42 34 40 10 00 20 41 28 42 F0 41 28 42 08 41
-34 40 03 00 0E 5C 12 42 6C 5C A0 45 A0 45 28 42
-34 40 10 00 20 41 28 42 F0 41 28 42 08 41 34 40
-7E 00 00 5C 90 42 F2 5B 54 45 12 42 8A 5C 34 40
-10 00 00 42 54 5C BC 40 7A 42 FA 40 2A 40 D2 C3
-23 02 E2 B2 60 02 02 24 30 40 DA 50 1A 52 04 20
-19 62 06 20 92 43 14 20 A2 93 02 20 07 24 0A 5A
-49 69 82 4A 16 20 C2 49 18 20 0A 3C C2 4A 15 20
-8A 10 C2 4A 16 20 C2 49 17 20 89 10 C2 49 18 20
-B0 12 34 5D 7A 93 FC 23 0A 43 39 40 05 00 D2 49
-14 20 4E 06 82 93 46 06 05 24 92 B3 6C 06 FD 27
-C2 93 4C 06 59 83 F3 2F 19 83 0B 30 F2 43 4E 06
-82 93 46 06 03 24 92 B3 6C 06 FD 27 5A 92 4C 06
-F3 23 30 41 19 43 3A 43 8A 10 C2 4A 4E 06 82 93
-46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06 19 83
-F3 23 5A 42 4C 06 30 41 1A 52 08 20 09 43 1C D3
-F2 40 51 00 19 20 B0 12 AE 5C 34 20 B0 12 34 5D
-7A 90 FE FF 04 24 FA 23 D9 42 4C 06 FF 1D F2 43
-4E 06 03 43 19 53 39 90 01 02 F6 23 F2 43 4E 06
-3C C0 03 00 D2 D3 23 02 30 41 09 43 2C D3 F0 40
-58 00 77 C2 B0 12 AE 5C 15 20 3A 40 FE FF 29 43
-B0 12 38 5D D2 49 00 1E 4E 06 03 43 19 53 39 90
-00 02 F8 23 39 40 03 00 B0 12 36 5D 7A C0 E1 00
-6A 92 DE 27 8C 10 1C 52 4C 06 D2 D3 23 02 87 12
-F4 45 0B 3C 20 53 44 20 45 72 72 6F 72 21 F0 5D
-2F 82 8F 4E 02 00 9F 42 DA 1D 00 00 B2 40 10 00
-DA 1D 0E 4C B0 12 2A 40 4E 43 7A 42 FA 40 BC 49
-C8 5A 09 7B 53 44 5F 4C 4F 41 44 7D 30 4D 39 4F
-18 42 C4 1D 4A 4E 0E 48 C8 4A 00 00 18 53 30 40
-C2 4D 92 4B 0E 00 22 20 92 4B 10 00 24 20 5A 42
-23 20 58 42 22 20 A2 93 02 20 08 20 59 42 24 20
-89 10 0A 59 88 10 08 58 0A 6A 88 10 08 58 30 41
-82 43 1C 20 92 42 0E 20 1A 20 C2 93 24 20 03 20
-92 93 22 20 14 24 92 42 22 20 D0 04 92 42 24 20
-D2 04 92 42 12 20 C8 04 92 42 E4 04 1A 20 92 42
-E6 04 1C 20 92 52 10 20 1A 20 82 63 1C 20 30 41
-92 4B 0E 00 22 20 92 4B 10 00 24 20 B0 12 60 5E
-5A 4B 03 00 82 5A 1A 20 82 63 1C 20 30 41 09 93
-07 24 F8 90 20 00 00 1E 03 20 18 53 19 83 F9 23
-30 41 1B 42 34 20 82 43 1E 20 B2 90 00 02 20 20
-96 20 BB 80 00 02 12 00 8B 73 14 00 DB 53 03 00
-DB 92 12 20 03 00 11 28 CB 43 03 00 B0 12 32 5E
-B0 12 58 5D 8B 43 10 00 9B 48 00 1E 0E 00 92 93
-02 20 03 24 9B 48 02 1E 10 00 B2 40 00 02 20 20
-8B 93 14 00 0B 20 92 9B 12 00 1E 20 70 2C BB 90
-00 02 12 00 03 2C 92 4B 12 00 20 20 B0 12 A0 5E
-1A 42 1A 20 19 42 1C 20 0A 3F 3C 42 3B 40 40 20
-09 43 CB 93 02 00 10 24 9B 92 24 20 0C 00 04 20
-9B 92 22 20 0A 00 07 24 09 4B 3B 50 18 00 3B 90
-00 21 EF 23 0C 5C 30 41 0C 43 82 4B 34 20 8B 49
-00 00 4A 93 07 34 49 93 05 24 C9 93 02 00 02 34
+10 20 92 42 02 20 2C 20 B2 40 00 21 00 21 B7 3E
+CE 4E 09 41 53 53 45 4D 42 4C 45 52 84 12 96 4E
+A6 5A 52 5B 5A 5A A6 5B 20 5A DA 5A 24 57 00 00
+16 5A C6 5A 78 5A B6 5A 34 58 00 00 00 00 B8 5B
+CA 4E 54 51 85 48 49 32 4C 4F 87 12 C2 43 FE 4C
+D6 48 DE 4A D4 4E 5C 54 2A 40 C4 51 04 43 4F 44
+45 00 B0 12 A8 4B A2 82 C4 1D 87 12 7A 4C DC 41
+94 54 00 00 07 45 4E 44 43 4F 44 45 87 12 EE 4E
+8C 4B 2A 40 52 54 03 41 53 4D 92 42 C8 1D B8 1D
+B2 40 60 54 C8 1D E5 3F B4 54 06 45 4E 44 41 53
+4D 00 92 42 B8 1D C8 1D E9 3F 00 00 05 43 4F 4C
+4F 4E 1A 42 C4 1D BA 40 87 12 00 00 A2 53 C4 1D
+B2 43 B6 1D 30 40 EE 4E A4 42 05 4C 4F 32 48 49
+1A 42 C4 1D BA 40 B0 12 00 00 BA 40 2A 40 02 00
+A2 52 C4 1D ED 3F 38 40 BE 1D 39 48 2A 48 09 5A
+1A 52 C2 1D 09 9A 03 24 7E 9A FC 27 1A 83 0E 4A
+2A 88 82 4A C2 1D 30 4D B0 12 2A 40 B0 46 0E 47
+EA 41 5C 55 D0 47 E0 41 CC 4A 7E 55 5E 55 29 4E
+39 90 86 12 02 20 2E 53 30 41 39 90 85 12 03 20
+1E 4E 02 00 30 41 39 90 84 12 01 20 2E 52 30 41
+19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 40 29 00
+12 12 C2 1D 92 53 C2 1D B0 12 2A 40 B0 46 D0 47
+E0 41 B0 55 A6 55 21 53 3E 90 10 00 BB 2D 30 41
+B2 55 B2 41 C2 1D 22 D3 30 41 87 12 90 42 26 55
+C2 55 82 43 BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D
+0A 4E 3E 4F FA 90 23 00 00 00 34 20 92 53 C2 1D
+B0 12 48 55 0E 93 04 20 B2 40 00 03 BC 1D 27 3C
+1E 93 04 20 B2 40 10 03 BC 1D 21 3C 2E 93 04 20
+B2 40 20 03 BC 1D 1B 3C 2E 92 04 20 B2 40 20 02
+BC 1D 15 3C 3E 92 04 20 B2 40 30 02 BC 1D 0F 3C
+3E 93 04 20 B2 40 30 03 BC 1D 09 3C B2 40 30 00
+BC 1D 19 42 C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F
+3D 41 30 4D FA 90 26 00 00 00 08 20 B2 40 10 02
+BC 1D 92 53 C2 1D 30 12 32 56 76 3F FA 90 40 00
+00 00 1A 20 B2 40 20 00 BC 1D 92 53 C2 1D B0 12
+90 55 0E 20 B2 50 10 00 BC 1D 3E 40 2B 00 B0 12
+90 55 32 24 92 92 BE 1D C2 1D 02 24 92 53 C2 1D
+8E 10 82 5E BC 1D D3 3F B0 12 90 55 F9 23 B2 50
+10 00 BC 1D 3E 40 28 00 B0 12 48 55 30 12 82 56
+67 3F 87 12 90 42 26 55 BA 56 FE 90 26 00 00 00
+3E 40 20 00 04 20 B2 50 82 00 BC 1D C2 3F B0 12
+90 55 DF 23 B2 50 80 00 BC 1D 3E 40 28 00 B0 12
+48 55 B0 12 80 55 D5 23 3D 40 CC 4A 30 4D FC 44
+04 52 45 54 49 00 87 12 34 40 00 13 D6 48 2A 40
+34 40 2C 00 BA 55 B2 56 0A 57 2E 4E 1E D2 BC 1D
+19 42 BA 1D 92 3F 0A 55 03 4D 4F 56 84 12 00 57
+00 40 18 57 05 4D 4F 56 2E 42 84 12 00 57 40 40
+00 00 03 41 44 44 84 12 00 57 00 50 32 57 05 41
+44 44 2E 42 84 12 00 57 40 50 3E 57 04 41 44 44
+43 00 84 12 00 57 00 60 4C 57 06 41 44 44 43 2E
+42 00 84 12 00 57 40 60 F0 56 04 53 55 42 43 00
+84 12 00 57 00 70 6A 57 06 53 55 42 43 2E 42 00
+84 12 00 57 40 70 78 57 03 53 55 42 84 12 00 57
+00 80 88 57 05 53 55 42 2E 42 84 12 00 57 40 80
+EC 54 03 43 4D 50 84 12 00 57 00 90 A2 57 05 43
+4D 50 2E 42 84 12 00 57 40 90 DA 54 04 44 41 44
+44 00 84 12 00 57 00 A0 BC 57 06 44 41 44 44 2E
+42 00 84 12 00 57 40 A0 AE 57 03 42 49 54 84 12
+00 57 00 B0 DA 57 05 42 49 54 2E 42 84 12 00 57
+40 B0 E6 57 03 42 49 43 84 12 00 57 00 C0 F4 57
+05 42 49 43 2E 42 84 12 00 57 40 C0 00 58 03 42
+49 53 84 12 00 57 00 D0 0E 58 05 42 49 53 2E 42
+84 12 00 57 40 D0 00 00 03 58 4F 52 84 12 00 57
+00 E0 28 58 05 58 4F 52 2E 42 84 12 00 57 40 E0
+5A 57 03 41 4E 44 84 12 00 57 00 F0 42 58 05 41
+4E 44 2E 42 84 12 00 57 40 F0 90 42 BA 55 60 58
+1A 42 BC 1D B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00
+82 DA BC 1D 4A 3F 94 57 03 52 52 43 84 12 5A 58
+00 10 78 58 05 52 52 43 2E 42 84 12 5A 58 40 10
+84 58 04 53 57 50 42 00 84 12 5A 58 80 10 92 58
+03 52 52 41 84 12 5A 58 00 11 A0 58 05 52 52 41
+2E 42 84 12 5A 58 40 11 AC 58 03 53 58 54 84 12
+5A 58 80 11 00 00 04 50 55 53 48 00 84 12 5A 58
+00 12 C6 58 06 50 55 53 48 2E 42 00 84 12 5A 58
+40 12 1A 58 04 43 41 4C 4C 00 84 12 5A 58 80 12
+34 40 2C 00 BA 55 B2 56 FA 58 59 42 BC 1D 5A 42
+BD 1D 82 4A BC 1D BE 90 00 15 00 00 02 20 0A 89
+02 3C 09 8A 0A 49 3A 90 10 00 03 2C 5A 0E A8 3F
+1A 53 0E 4A 87 12 AC 43 30 46 0D 6F 75 74 20 6F
+66 20 62 6F 75 6E 64 73 3C 4A D4 58 05 50 55 53
+48 4D 84 12 F0 58 00 15 3C 59 04 50 4F 50 4D 00
+84 12 F0 58 00 17 90 42 26 55 5C 59 82 43 BC 1D
+92 42 C4 1D BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40
+2C 00 B0 12 2A 40 B0 46 D0 47 E0 41 CC 4A B2 56
+82 59 0A 4E 3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06
+6F 3F BA 58 04 52 52 43 4D 00 84 12 56 59 50 00
+94 59 04 52 52 41 4D 00 84 12 56 59 50 01 A2 59
+04 52 4C 41 4D 00 84 12 56 59 50 02 B0 59 04 52
+52 55 4D 00 84 12 56 59 50 03 85 12 00 3C BE 59
+03 53 3E 3D 85 12 00 38 D0 59 02 53 3C 00 85 12
+00 34 4A 59 03 30 3E 3D 85 12 00 30 E4 59 02 30
+3C 00 85 12 00 30 00 00 02 55 3C 00 85 12 00 2C
+F8 59 03 55 3E 3D 85 12 00 28 EE 59 03 30 3C 3E
+85 12 00 24 0C 5A 02 30 3D 00 85 12 00 20 08 45
+02 49 46 00 1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D
+0E 4A 30 4D 02 5A 04 54 48 45 4E 00 1A 42 C4 1D
+08 4E 3E 4F 09 48 29 53 0A 89 0A 11 3A 90 00 02
+68 2F 88 DA 00 00 30 4D CA 57 04 45 4C 53 45 00
+1A 42 C4 1D BA 40 00 3C 00 00 A2 53 C4 1D 2F 83
+8F 4A 00 00 E3 3F 36 5A 05 55 4E 54 49 4C 3A 4F
+08 4E 3E 4F 19 42 C4 1D 2A 83 0A 89 0A 11 3A 90
+00 FE 47 3B 3A F0 FF 03 08 DA 89 48 00 00 A2 53
+C4 1D 30 4D 4E 58 05 41 47 41 49 4E 87 12 CA 59
+7E 5A 2A 40 00 00 05 57 48 49 4C 45 87 12 24 5A
+78 40 2A 40 DA 59 06 52 45 50 45 41 54 00 87 12
+CA 59 7E 5A 3C 5A 2A 40 00 00 03 4A 4D 50 87 12
+BE 4A CA 59 7E 5A 2A 40 3E B0 00 10 03 20 3E E0
+00 04 30 4D 3E 90 00 34 06 28 03 24 3E 40 00 34
+30 4D 3E 40 00 38 30 4D 00 00 04 3F 4A 4D 50 00
+87 12 E8 5A BE 4A 78 40 7E 5A 2A 40 1E 5B 3D 41
+08 4E 3E 4F 2A 48 0A 93 04 20 98 42 C4 1D 00 00
+30 4D 88 43 00 00 A4 3F E4 58 03 42 57 31 84 12
+1C 5B 00 00 3A 5B 03 42 57 32 84 12 1C 5B 00 00
+46 5B 03 42 57 33 84 12 1C 5B 00 00 5E 5B 3D 41
+1A 42 C4 1D 28 4E 08 93 08 20 BA 4F 00 00 A2 53
+C4 1D 8E 4A 00 00 3E 4F 30 4D 8E 43 00 00 61 3F
+00 00 03 46 57 31 84 12 5C 5B 00 00 82 5B 03 46
+57 32 84 12 5C 5B 00 00 8E 5B 03 46 57 33 84 12
+5C 5B 00 00 9A 5B 04 47 4F 54 4F 00 87 12 CA 59
+BE 4A CC 48 2A 40 0A 5B 05 3F 47 4F 54 4F 87 12
+E8 5A BE 4A CC 48 2A 40 D2 C3 23 02 E2 B2 60 02
+02 24 30 40 CA 51 1A 52 04 20 19 62 06 20 92 43
+14 20 A2 93 02 20 07 24 0A 5A 49 69 82 4A 16 20
+C2 49 18 20 0A 3C C2 4A 15 20 8A 10 C2 4A 16 20
+C2 49 17 20 89 10 C2 49 18 20 B0 12 4E 5C 7A 93
+FC 23 0A 43 39 40 05 00 D2 49 14 20 4E 06 82 93
+46 06 05 24 92 B3 6C 06 FD 27 C2 93 4C 06 59 83
+F3 2F 19 83 0B 30 F2 43 4E 06 82 93 46 06 03 24
+92 B3 6C 06 FD 27 5A 92 4C 06 F3 23 30 41 19 43
+3A 43 8A 10 C2 4A 4E 06 82 93 46 06 05 24 92 B3
+6C 06 FD 27 C2 93 4C 06 19 83 F3 23 5A 42 4C 06
+30 41 1A 52 08 20 09 43 1C D3 F2 40 51 00 19 20
+B0 12 C8 5B 34 20 B0 12 4E 5C 7A 90 FE FF 04 24
+FA 23 D9 42 4C 06 FF 1D F2 43 4E 06 03 43 19 53
+39 90 01 02 F6 23 F2 43 4E 06 3C C0 03 00 D2 D3
+23 02 30 41 09 43 2C D3 F0 40 58 00 5D C3 B0 12
+C8 5B 15 20 3A 40 FE FF 29 43 B0 12 52 5C D2 49
+00 1E 4E 06 03 43 19 53 39 90 00 02 F8 23 39 40
+03 00 B0 12 50 5C 7A C0 E1 00 6A 92 DE 27 8C 10
+1C 52 4C 06 D2 D3 23 02 87 12 30 46 0B 3C 20 53
+44 20 45 72 72 6F 72 21 0A 5D 2F 82 8F 4E 02 00
+9F 42 DA 1D 00 00 B2 40 10 00 DA 1D 0E 4C B0 12
+2A 40 78 43 7A 42 FA 40 3C 4A 4A 4E 39 4F 18 42
+C4 1D 0E 48 19 83 1A 53 30 40 42 4E 92 4B 0E 00
+22 20 92 4B 10 00 24 20 5A 42 23 20 58 42 22 20
+92 93 02 20 08 24 59 42 24 20 89 10 0A 59 88 10
+08 58 0A 6A 88 10 08 58 30 41 82 43 1C 20 92 42
+0E 20 1A 20 C2 93 24 20 03 20 92 93 22 20 14 24
+92 42 22 20 D0 04 92 42 24 20 D2 04 92 42 12 20
+C8 04 92 42 E4 04 1A 20 92 42 E6 04 1C 20 92 52
+10 20 1A 20 82 63 1C 20 30 41 92 4B 0E 00 22 20
+92 4B 10 00 24 20 B0 12 6A 5D 5A 4B 03 00 82 5A
+1A 20 82 63 1C 20 30 41 09 93 07 24 F8 90 20 00
+00 1E 03 20 18 53 19 83 F9 23 30 41 1B 42 34 20
+82 43 1E 20 B2 90 00 02 20 20 9C 20 BB 80 00 02
+12 00 8B 73 14 00 DB 53 03 00 DB 92 12 20 03 00
+11 28 CB 43 03 00 B0 12 3C 5D B0 12 72 5C 8B 43
+10 00 9B 48 00 1E 0E 00 92 93 02 20 03 24 9B 48
+02 1E 10 00 B2 40 00 02 20 20 8B 93 14 00 0B 20
+92 9B 12 00 1E 20 76 2C BB 90 00 02 12 00 03 2C
+92 4B 12 00 20 20 B0 12 AA 5D 1A 42 1A 20 19 42
+1C 20 12 3F 3C 42 3B 40 40 20 09 43 CB 93 02 00
+10 24 9B 92 24 20 0C 00 04 20 9B 92 22 20 0A 00
+07 24 09 4B 3B 50 18 00 3B 90 00 21 EF 23 0C 5C
+30 41 0C 43 82 4B 34 20 8B 49 00 00 49 93 0A 24
+99 52 C2 1D 16 00 4A 93 05 34 C9 93 02 00 02 34
 5A 59 02 00 CB 4A 02 00 CB 43 03 00 9B 42 1A 20
 04 00 9B 42 1C 20 06 00 18 42 30 20 8B 48 08 00
 9B 48 1A 1E 0A 00 9B 48 14 1E 0C 00 9B 48 1A 1E
 0E 00 9B 48 14 1E 10 00 9B 48 1C 1E 12 00 9B 48
-1E 1E 14 00 82 43 1E 20 6A 93 62 27 CC 37 8B 43
-16 00 7A 93 05 24 99 37 99 52 C2 1D 16 00 95 3F
-19 42 C2 1D 1A 42 BE 1D 0A 89 82 4A 36 20 19 52
-C0 1D 82 49 38 20 B2 40 FC 43 70 44 86 3F 1B 42
-34 20 82 43 20 20 0B 93 AE 27 EB 93 02 00 04 20
-B0 12 7E 64 B0 12 46 64 5A 4B 02 00 CB 43 02 00
-2B 4B 82 4B 34 20 5A 53 05 24 9D 37 92 4B 16 00
-1E 20 6B 3F 1E 42 36 20 9F 42 38 20 02 00 B2 40
-7E 44 70 44 30 41 B6 4E 85 52 45 41 44 22 5A 43
-19 3C 52 5B 86 57 52 49 54 45 22 00 6A 43 12 3C
-32 5C 84 44 45 4C 22 00 6A 42 0C 3C 9A 53 05 43
-4C 4F 53 45 B0 12 0E 60 30 4D FC 5B 85 4C 4F 41
-44 22 7A 43 2F 83 8F 4E 00 00 0E 4A 82 93 B6 1D
-0E 24 87 12 34 40 34 40 56 48 56 48 12 46 30 46
-34 40 1E 5E 56 48 34 40 CA 60 56 48 2A 40 87 12
-34 40 22 00 74 46 C8 60 3D 41 78 4E 08 5E C8 43
-00 00 1C 43 92 42 2C 20 22 20 92 42 2E 20 24 20
-CE 93 00 00 91 24 FE 90 3A 00 01 00 01 20 2E 53
-FE 90 5C 00 00 00 09 20 1E 53 92 42 02 20 22 20
-82 43 24 20 CE 93 00 00 73 24 82 4E 32 20 B0 12
-60 5E 34 40 20 00 A2 93 02 20 04 24 92 92 22 20
-02 20 02 24 14 42 12 20 B0 12 40 5F 2C 43 0A 43
-08 4A 58 0E 08 58 82 48 30 20 C8 93 00 1E 64 24
-39 42 F8 9E 00 1E 04 20 18 53 19 83 FA 23 1E 53
-FE 90 2E 00 FF FF 1A 24 39 50 03 00 B0 12 BE 5E
-07 20 FE 90 5C 00 FF FF 2B 24 CE 93 FF FF 28 24
-1E 42 32 20 1A 53 3A 90 10 00 DA 23 92 53 1A 20
-82 63 1C 20 14 83 D0 23 2C 42 3E 3C FE 90 2E 00
-FE FF EE 27 B0 12 BE 5E EB 23 39 40 03 00 F8 9E
-00 1E 04 20 18 53 19 83 FA 23 0A 3C CE 93 FF FF
-DF 23 FE 90 5C 00 FF FF DB 23 B0 12 BE 5E D8 23
-18 42 30 20 92 48 1A 1E 22 20 92 48 14 1E 24 20
-F8 B0 10 00 0B 1E 15 24 82 93 24 20 06 20 82 93
-22 20 03 20 92 42 02 20 22 20 CE 93 FF FF 8A 23
-92 42 22 20 2C 20 92 42 24 20 2E 20 8F 43 00 00
-03 3C 2A 4F B0 12 4A 5F 3A 4F 34 40 14 40 3E 4F
-0A 93 06 24 7A 93 14 20 0C 93 03 20 3D 40 BC 48
-30 4D 87 12 F4 45 0B 3C 20 4F 70 65 6E 45 72 72
-6F 72 60 45 98 43 9E 48 D8 45 A0 45 DC 41 EE 5D
-1A 93 B5 20 0C 93 ED 23 30 4D 58 60 04 52 45 41
-44 00 2F 83 8F 4E 00 00 1E 42 34 20 B0 12 D2 5E
-1E 82 34 20 30 4D 2C 43 12 12 2A 20 18 42 02 20
-08 58 2A 41 82 9A 0A 20 A0 24 B0 12 58 5D 09 43
-28 93 03 24 89 93 02 1E 03 20 89 93 00 1E 07 24
-09 58 39 90 00 02 F4 23 91 53 00 00 EA 3F 0C 43
-6A 41 B9 43 00 1E 28 93 0F 24 B9 40 FF 0F 02 1E
-09 11 8A 10 09 5A 5A 41 01 00 0A 11 09 10 82 4A
-28 20 82 49 26 20 07 3C 09 11 C2 49 26 20 C2 4A
-27 20 82 43 28 20 3A 41 82 4A 2A 20 30 41 0A 12
-1A 52 08 20 B0 12 9A 5D 3A 41 1A 52 0C 20 30 40
-9A 5D F2 B0 40 00 A2 04 29 20 F2 B0 10 00 A2 04
-FC 27 5A 42 B0 04 4A 11 59 42 B4 04 F2 40 20 00
-C0 04 D2 42 B1 04 C8 04 1A 52 E4 04 D2 42 B5 04
-C8 04 19 52 E4 04 D2 42 B2 04 C0 04 B2 40 00 08
-C8 04 1A 52 E4 04 92 42 B6 04 C0 04 B2 80 BC 07
-C0 04 B2 40 00 02 C8 04 19 52 E4 04 30 41 22 2A
-2B 2C 2F 3A 3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92
-06 38 39 80 03 00 B0 12 9C 63 39 40 03 00 7A 4B
-C8 4A 00 1E 0A 93 12 24 0D 12 3D 40 0F 00 3C 40
-4E 63 7A 9C F4 27 1D 83 FC 23 3D 41 6A 9C E7 27
-3A 80 21 00 EC 3B 18 53 19 83 E9 23 09 93 06 24
-F8 40 20 00 00 1E 18 53 19 83 FA 23 30 41 2A 93
-EB 20 2C 93 0D 24 0C 93 BA 24 87 12 F4 45 0C 3C
-20 57 72 69 74 65 45 72 72 6F 72 00 DC 41 32 62
-B0 12 66 62 92 42 26 20 22 20 92 42 28 20 24 20
-B0 12 DE 62 B0 12 40 5F 18 42 30 20 F8 40 20 00
-0B 1E B0 12 F2 62 88 43 0C 1E 88 4A 0E 1E 88 49
-10 1E 88 49 12 1E 98 42 24 20 14 1E 98 42 22 20
-1A 1E 88 43 1C 1E 88 43 1E 1E 1C 43 1B 42 32 20
-CB 93 00 00 CA 27 FB 90 2E 00 00 00 C6 27 39 40
-0B 00 B0 12 6E 63 B0 12 88 64 2A 43 B0 12 4A 5F
-0C 93 BB 23 30 4D 1A 4B 04 00 19 4B 06 00 B0 12
-5E 5D B0 12 F2 62 18 4B 08 00 88 49 12 1E 88 4A
-16 1E 88 49 18 1E 98 4B 12 00 1C 1E 98 4B 14 00
-1E 1E 1A 4B 04 00 19 4B 06 00 30 40 9C 5D 9B 52
-1E 20 12 00 8B 63 14 00 1A 42 1A 20 19 42 1C 20
-30 40 9C 5D B2 40 00 02 1E 20 1B 42 34 20 B0 12
-7E 64 82 43 1E 20 DB 53 03 00 DB 92 12 20 03 00
-22 20 CB 43 03 00 B0 12 32 5E 08 12 0A 12 B0 12
-66 62 2A 91 05 24 B0 12 DE 62 2A 41 B0 12 58 5D
-3A 41 38 41 98 42 26 20 00 1E 92 93 02 20 03 24
-98 42 28 20 02 1E B0 12 DE 62 9B 42 26 20 0E 00
-9B 42 28 20 10 00 30 40 A0 5E 64 60 05 57 52 49
-54 45 B0 12 94 64 30 4D 4C 62 07 53 44 5F 45 4D
-49 54 B2 90 00 02 1E 20 02 28 B0 12 94 64 18 42
-1E 20 C8 4E 00 1E 92 53 1E 20 3E 4F 30 4D 58 4B
-13 00 59 4B 14 00 89 10 09 58 58 4B 15 00 5B 42
-12 20 0A 43 3C 42 08 11 09 10 4A 10 1C 83 0B 11
-FA 2B 0A 11 1C 83 FD 37 1B 42 34 20 19 5B 0A 00
-18 6B 0C 00 8B 49 0E 00 8B 48 10 00 CB 4A 03 00
-1A 4B 12 00 BB C0 FF 01 12 00 3A F0 FF 01 82 4A
-1E 20 B0 12 3C 5F 30 4D 0C 93 38 20 38 90 E0 01
-03 2C C8 93 20 1E 02 24 7C 40 E5 00 C8 4C 00 1E
-B0 12 88 64 B0 12 3E 5E 82 4A 2A 20 0B 4A B0 12
-58 5D 1A 48 00 1E 88 43 00 1E 92 93 02 20 09 24
-19 48 02 1E 88 43 02 1E 39 F0 FF 0F 39 90 FF 0F
-02 20 3A 93 0E 24 82 4A 22 20 82 49 24 20 B0 12
-3E 5E 0B 9A E6 27 0A 12 0A 4B B0 12 DE 62 3A 41
-DD 3F 0A 4B B0 12 DE 62 B0 12 0E 60 30 4D 0A 5C
-08 54 45 52 4D 32 53 44 22 00 87 12 78 60 98 43
-12 66 21 53 2F 83 AF 43 00 00 3D 40 22 66 30 40
-CA 60 24 66 92 C3 DC 05 08 43 B0 12 B2 44 92 B3
-DC 05 FD 27 59 42 CC 05 69 92 0D 24 C8 49 00 1E
-18 53 38 90 FF 01 F3 2B 03 24 B0 12 94 64 EC 3F
-B0 12 C4 44 EC 3F B0 12 C4 44 82 48 1E 20 B0 12
-0E 60 3D 41 30 4D 12 5E 0A 7B 53 44 5F 54 4F 4F
-4C 53 7D 00 30 4D 0A 65 06 53 45 43 54 4F 52 00
-09 4E 2A 4F B0 12 5E 5D 87 12 9A 42 FE 42 18 43
-D8 45 A0 45 34 40 00 1E 34 40 00 02 38 5C 2A 40
-7E 60 07 43 4C 55 53 54 45 52 82 4E 24 20 A2 4F
-22 20 B0 12 60 5E 9F 42 1A 20 00 00 1E 42 1C 20
-DF 3F 3C 4A 03 46 41 54 2F 82 8F 4E 02 00 9F 42
-08 20 00 00 0E 43 D4 3F 72 60 03 44 49 52 2F 82
-8F 4E 02 00 92 42 2C 20 22 20 92 42 2E 20 24 20
-E0 3F
+1E 1E 14 00 82 43 1E 20 6A 93 5F 27 C9 37 8B 43
+16 00 7A 93 02 24 0F 38 95 3F 19 42 C2 1D 1A 42
+BE 1D 0A 89 82 4A 36 20 19 52 C0 1D 82 49 38 20
+B2 40 26 44 94 44 A2 53 00 21 19 42 00 21 89 4D
+00 00 80 3F 1B 42 34 20 0B 93 AA 27 EB 93 02 00
+04 20 B0 12 AA 63 B0 12 72 63 5A 4B 02 00 CB 43
+02 00 2B 4B 82 4B 34 20 7A 93 09 20 1E 42 36 20
+9F 42 38 20 02 00 B2 40 A2 44 94 44 0A 3C 0B 93
+8F 27 CB 93 02 00 8C 37 92 4B 16 00 1E 20 B0 12
+24 5E 2F 53 21 52 19 42 00 21 2D 49 A2 83 00 21
+30 4D A4 4F 85 52 45 41 44 22 5A 43 19 3C B8 51
+86 57 52 49 54 45 22 00 6A 43 12 3C 0C 4F 84 44
+45 4C 22 00 6A 42 0C 3C 9C 54 05 43 4C 4F 53 45
+B0 12 24 5F 30 4D 2A 4E 85 4C 4F 41 44 22 7A 43
+2F 83 8F 4E 00 00 0E 4A 82 93 B6 1D 0E 24 87 12
+34 40 34 40 D6 48 D6 48 4E 46 6C 46 34 40 2A 5D
+D6 48 34 40 F6 5F D6 48 2A 40 87 12 34 40 22 00
+B0 46 F4 5F 3D 41 78 4E 08 5E C8 43 00 00 1C 43
+92 42 2C 20 22 20 92 42 2E 20 24 20 CE 93 00 00
+91 24 FE 90 3A 00 01 00 01 20 2E 53 FE 90 5C 00
+00 00 09 20 1E 53 92 42 02 20 22 20 82 43 24 20
+CE 93 00 00 73 24 82 4E 32 20 B0 12 6A 5D 34 40
+20 00 A2 93 02 20 04 24 92 92 22 20 02 20 02 24
+14 42 12 20 B0 12 4A 5E 2C 43 0A 43 08 4A 58 0E
+08 58 82 48 30 20 C8 93 00 1E 64 24 39 42 F8 9E
+00 1E 04 20 18 53 19 83 FA 23 1E 53 FE 90 2E 00
+FF FF 1A 24 39 50 03 00 B0 12 C8 5D 07 20 FE 90
+5C 00 FF FF 2B 24 CE 93 FF FF 28 24 1E 42 32 20
+1A 53 3A 90 10 00 DA 23 92 53 1A 20 82 63 1C 20
+14 83 D0 23 2C 42 3E 3C FE 90 2E 00 FE FF EE 27
+B0 12 C8 5D EB 23 39 40 03 00 F8 9E 00 1E 04 20
+18 53 19 83 FA 23 0A 3C CE 93 FF FF DF 23 FE 90
+5C 00 FF FF DB 23 B0 12 C8 5D D8 23 18 42 30 20
+92 48 1A 1E 22 20 92 48 14 1E 24 20 F8 B0 10 00
+0B 1E 15 24 82 93 24 20 06 20 82 93 22 20 03 20
+92 42 02 20 22 20 CE 93 FF FF 8A 23 92 42 22 20
+2C 20 92 42 24 20 2E 20 8F 43 00 00 03 3C 2A 4F
+B0 12 54 5E 34 40 14 40 3A 4F 3E 4F 0A 93 06 24
+7A 93 14 20 0C 93 03 20 3D 40 3C 49 30 4D 87 12
+30 46 0B 3C 20 4F 70 65 6E 45 72 72 6F 72 9C 45
+C2 43 1E 49 14 46 DC 45 DC 41 08 5D 1A 93 B5 20
+0C 93 ED 23 30 4D 84 5F 04 52 45 41 44 00 2F 83
+8F 4E 00 00 1E 42 34 20 B0 12 DC 5D 1E 82 34 20
+30 4D 2C 43 12 12 2A 20 18 42 02 20 08 58 2A 41
+82 9A 0A 20 A0 24 B0 12 72 5C 09 43 28 93 03 24
+89 93 02 1E 03 20 89 93 00 1E 07 24 09 58 39 90
+00 02 F4 23 91 53 00 00 EA 3F 0C 43 6A 41 B9 43
+00 1E 28 93 0F 24 B9 40 FF 0F 02 1E 09 11 8A 10
+09 5A 5A 41 01 00 0A 11 09 10 82 4A 28 20 82 49
+26 20 07 3C 09 11 C2 49 26 20 C2 4A 27 20 82 43
+28 20 3A 41 82 4A 2A 20 30 41 0A 12 1A 52 08 20
+B0 12 B4 5C 3A 41 1A 52 0C 20 30 40 B4 5C F2 B0
+40 00 A2 04 29 20 F2 B0 10 00 A2 04 FC 27 5A 42
+B0 04 4A 11 59 42 B4 04 F2 40 20 00 C0 04 D2 42
+B1 04 C8 04 1A 52 E4 04 D2 42 B5 04 C8 04 19 52
+E4 04 D2 42 B2 04 C0 04 B2 40 00 08 C8 04 1A 52
+E4 04 92 42 B6 04 C0 04 B2 80 BC 07 C0 04 B2 40
+00 02 C8 04 19 52 E4 04 30 41 22 2A 2B 2C 2F 3A
+3B 3C 3D 3E 3F 5B 5C 5D 7C 2E 29 92 06 38 39 80
+03 00 B0 12 C8 62 39 40 03 00 7A 4B C8 4A 00 1E
+0A 93 12 24 0D 12 3D 40 0F 00 3C 40 7A 62 7A 9C
+F4 27 1D 83 FC 23 3D 41 6A 9C E7 27 3A 80 21 00
+EC 3B 18 53 19 83 E9 23 09 93 06 24 F8 40 20 00
+00 1E 18 53 19 83 FA 23 30 41 2A 93 EB 20 2C 93
+0D 24 0C 93 BA 24 87 12 30 46 0C 3C 20 57 72 69
+74 65 45 72 72 6F 72 00 DC 41 5E 61 B0 12 92 61
+92 42 26 20 22 20 92 42 28 20 24 20 B0 12 0A 62
+B0 12 4A 5E 18 42 30 20 F8 40 20 00 0B 1E B0 12
+1E 62 88 43 0C 1E 88 4A 0E 1E 88 49 10 1E 88 49
+12 1E 98 42 24 20 14 1E 98 42 22 20 1A 1E 88 43
+1C 1E 88 43 1E 1E 1C 43 1B 42 32 20 CB 93 00 00
+CA 27 FB 90 2E 00 00 00 C6 27 39 40 0B 00 B0 12
+9A 62 B0 12 B4 63 2A 43 B0 12 54 5E 0C 93 BB 23
+30 4D 1A 4B 04 00 19 4B 06 00 B0 12 78 5C B0 12
+1E 62 18 4B 08 00 88 49 12 1E 88 4A 16 1E 88 49
+18 1E 98 4B 12 00 1C 1E 98 4B 14 00 1E 1E 1A 4B
+04 00 19 4B 06 00 30 40 B6 5C 9B 52 1E 20 12 00
+8B 63 14 00 1A 42 1A 20 19 42 1C 20 30 40 B6 5C
+B2 40 00 02 1E 20 1B 42 34 20 B0 12 AA 63 82 43
+1E 20 DB 53 03 00 DB 92 12 20 03 00 22 20 CB 43
+03 00 B0 12 3C 5D 08 12 0A 12 B0 12 92 61 2A 91
+05 24 B0 12 0A 62 2A 41 B0 12 72 5C 3A 41 38 41
+98 42 26 20 00 1E 92 93 02 20 03 24 98 42 28 20
+02 1E B0 12 0A 62 9B 42 26 20 0E 00 9B 42 28 20
+10 00 30 40 AA 5D 90 5F 05 57 52 49 54 45 B0 12
+C0 63 30 4D 78 61 07 53 44 5F 45 4D 49 54 B2 90
+00 02 1E 20 02 28 B0 12 C0 63 18 42 1E 20 C8 4E
+00 1E 92 53 1E 20 3E 4F 30 4D 58 4B 13 00 59 4B
+14 00 89 10 09 58 58 4B 15 00 5B 42 12 20 0A 43
+3C 42 08 11 09 10 4A 10 1C 83 0B 11 FA 2B 0A 11
+1C 83 FD 37 1B 42 34 20 19 5B 0A 00 18 6B 0C 00
+8B 49 0E 00 8B 48 10 00 CB 4A 03 00 1A 4B 12 00
+BB C0 FF 01 12 00 3A F0 FF 01 82 4A 1E 20 B0 12
+46 5E 30 4D 0C 93 38 20 38 90 E0 01 03 2C C8 93
+20 1E 02 24 7C 40 E5 00 C8 4C 00 1E B0 12 B4 63
+B0 12 48 5D 82 4A 2A 20 0B 4A B0 12 72 5C 1A 48
+00 1E 88 43 00 1E 92 93 02 20 09 24 19 48 02 1E
+88 43 02 1E 39 F0 FF 0F 39 90 FF 0F 02 20 3A 93
+0E 24 82 4A 22 20 82 49 24 20 B0 12 48 5D 0B 9A
+E6 27 0A 12 0A 4B B0 12 0A 62 3A 41 DD 3F 0A 4B
+B0 12 0A 62 B0 12 24 5F 30 4D 42 4D 08 54 45 52
+4D 32 53 44 22 00 87 12 A4 5F C2 43 3E 65 21 53
+2F 83 AF 43 00 00 3D 40 4E 65 30 40 F6 5F 50 65
+92 C3 DC 05 08 43 B0 12 D6 44 92 B3 DC 05 FD 27
+59 42 CC 05 69 92 0D 24 C8 49 00 1E 18 53 38 90
+FF 01 F3 2B 03 24 B0 12 C0 63 EC 3F B0 12 E8 44
+EC 3F B0 12 E8 44 82 48 1E 20 B0 12 24 5F 3D 41
+30 4D 3C 51 09 7B 55 54 49 4C 49 54 59 7D 30 4D
+FE 4E 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
+FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
+2E 8F 0E 11 87 12 34 40 3C 00 90 45 AC 43 34 40
+08 00 90 45 34 40 3E 00 90 45 DC 45 8A 40 8A 40
+C4 41 EA 41 EC 65 62 40 62 40 2A 40 F0 41 28 42
+F2 40 78 43 34 40 02 00 00 42 EE 65 2A 40 A2 65
+03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
+D3 3F 76 47 01 3F 2E 4E 30 40 78 43 28 64 05 57
+4F 52 44 53 87 12 D0 45 34 40 03 00 F2 45 34 40
+CA 1D F2 40 50 42 34 40 10 00 44 40 20 41 30 4E
+34 40 00 00 44 40 34 40 10 00 44 40 20 41 34 40
+00 00 F0 41 44 40 28 42 50 42 20 41 F2 40 D2 41
+E0 41 72 66 62 40 62 40 28 42 44 40 50 42 20 41
+F2 40 34 40 02 00 00 42 54 66 54 40 E0 41 B4 66
+44 40 34 40 02 00 28 41 F2 40 9A 40 50 42 20 41
+FA 40 44 40 1E 49 34 40 7F 00 36 41 14 46 08 41
+34 40 0F 00 36 41 34 40 10 00 78 40 28 41 F2 45
+DC 41 40 66 62 40 2A 40 B8 5F 03 4D 41 58 2E 9F
+07 38 2F 53 30 4D BA 66 03 4D 49 4E 2E 9F F9 3B
+3E 4F 30 4D 2C 65 03 55 2E 52 87 12 B0 40 9A 42
+34 40 00 00 F6 42 28 43 42 43 BC 40 8A 40 28 41
+34 40 00 00 BE 66 F2 45 14 46 2A 40 9E 5F 04 44
+55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D
+2E 5F B0 12 2A 40 78 40 8A 40 8A 40 78 43 34 40
+01 00 28 41 78 43 34 40 F0 FF 36 41 F0 41 D0 45
+28 42 34 40 07 00 DA 66 DC 45 28 42 34 40 10 00
+20 41 28 42 F0 41 28 42 08 41 34 40 03 00 DA 66
+12 42 46 67 DC 45 DC 45 28 42 34 40 10 00 20 41
+28 42 F0 41 28 42 08 41 34 40 7E 00 CC 66 90 42
+BE 66 90 45 12 42 64 67 34 40 10 00 00 42 2E 67
+BC 40 7A 42 FA 40 2A 40 94 65 0A 7B 46 49 58 50
+4F 49 4E 54 7D 00 30 4D 84 54 05 48 4F 4C 44 53
+39 4F 09 5E 18 42 AA 1D 19 83 1E 83 04 28 18 83
+E8 49 00 00 F9 3F 82 48 AA 1D 3E 4F 30 4D A0 4E
+02 46 2B 00 BF 5F 02 00 3E 6F 30 4D C0 67 02 46
+2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D CE 67
+02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00
+19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53
+09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E
+01 20 09 96 02 28 09 86 0A 7E 07 67 04 64 15 83
+09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E
+12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3
+06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
+E0 67 03 46 23 53 2F 83 8F 4E 00 00 2B 42 B2 90
+0A 00 DA 1D 01 20 1B 53 0C 43 A2 4F C0 04 92 42
+DA 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90
+0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E 88 1D
+1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 88 1D
+78 3F 62 68 02 46 2A 00 92 4F 04 00 D4 04 92 4F
+02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C
+00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D B4 68
+02 46 2E 00 87 12 9A 42 44 40 B0 40 6E 41 78 40
+66 68 34 40 2C 00 58 43 28 43 BC 40 66 43 42 43
+14 46 DC 45 2A 40 36 64 03 53 3E 46 2F 83 8F 43
+00 00 30 4D FE 66 03 44 3E 46 2E 4F 8F 43 00 00
+30 4D 08 69 09 32 43 4F 4E 53 54 41 4E 54 87 12
+20 4C 78 40 D6 48 D6 48 38 4C 3C 69 2F 83 BF 4E
+00 00 2E 4E 3D 41 30 4D
 @FFB4
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50 F2 44 E0 50
-E0 50 E0 50 E0 50 E0 50 E0 50 E0 50
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51 16 45 D0 51
+D0 51 D0 51 D0 51 D0 51 D0 51 D0 51
 q
index 3caa598..615ac76 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A 48 80 3E 80 04 05 00 18 00 E8 5E B8 55
-4A 48 5C 48 00 00 00 00
+10 00 A4 48 80 3E 80 04 05 00 18 00 A8 61 AA 56
+64 48 76 48 00 00 00 00
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,398 +51,442 @@ AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 46 04 42 41 53 45 00 85 12 DA 1D C8 44
 05 53 54 41 54 45 85 12 B6 1D 74 46 02 42 4C 00
 85 12 20 00 B4 45 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 46 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 44 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 46 02 23 53 00 87 12
-A6 46 04 47 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 46 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 46 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 46 02 55 2E 00 87 12
-9A 46 34 44 00 00 FE 46 18 47 70 49 38 49 2A 44
-68 45 02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45
-FE 46 9A 44 3C 47 18 47 70 49 38 49 2A 44 50 45
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 47 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 45 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 47 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A 48 92 B3 FC 05 FD 27 1E 42 EC 05 B0 12
-5C 48 30 4D 92 47 05 28 4B 45 59 29 18 42 EC 05
-EC 3F 38 46 03 4B 45 59 30 40 EC 47 A6 47 06 41
-43 43 45 50 54 00 30 40 16 48 E6 47 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 48 3B 40 92 48 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC 48 92 B3 FC 05 05 24 18 42 EC 05 38 90 0A 00
-04 20 21 53 39 40 76 48 4D 15 B2 40 11 00 EE 05
-D2 C3 22 02 A2 B3 FC 05 FD 27 30 41 B2 40 13 00
-EE 05 D2 D3 22 02 A2 B3 FC 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 48 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-EC 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 EE 05 A2 B3 FC 05 FD 27 30 4D BE 48 2D 83
-92 B3 FC 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C 48 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 47 04 45 4D 49 54 00 30 40 DE 48
-E6 48 04 45 43 48 4F 00 B2 40 82 48 B0 48 30 4D
-80 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 48
-30 4D D6 48 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 47 02 43 52 00 30 40 1A 49
-36 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A 49 EF 3F 5C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A 47 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 45
-28 46 08 45 EC 48 12 46 80 49 2A 44 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D 30 4D
-A2 49 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 1D
-30 4D 46 49 82 53 22 00 87 12 34 44 8C 49 EE 4B
-BC 49 34 44 22 00 0C 4A AA 49 DC 49 3D 41 6E 4E
-1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63 C4 1D
-30 4D 02 49 82 2E 22 00 87 12 C8 49 34 44 70 49
-EE 4B 2A 44 00 00 04 57 4F 52 44 00 3C 40 BE 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 1D 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C 4B 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E 4B 2F 53
-0E 93 2C 17 82 4C DA 1D 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 48 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-C4 1D A2 53 C4 1D 8A 4E 00 00 3E 4F 30 4D EC 4B
-87 4C 49 54 45 52 41 4C 82 93 B6 1D 0F 24 1A 42
-C4 1D A2 52 C4 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 1D B2 4F C0 1D 3E 4F 82 43
-C2 1D 87 12 90 46 0C 4A 5A 4C 3D 40 66 4C 05 23
-3D 41 3E 4F 30 4D 68 4C 0A 4E 3E 4F 3D 40 7E 4C
-5A 27 3D 40 54 4C 1A E2 B6 1D B9 27 B3 23 80 4C
-3E 4F 3D 40 54 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE 4E CD 3F DC 4B 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 44 4C BC 4C B2 41 C2 1D
-B2 41 C0 1D B2 41 BE 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-B6 1D 82 43 08 18 B0 12 2A 44 8C 49 03 0D 6F 6B
-70 49 38 49 5A 46 44 44 64 46 06 48 38 49 44 4C
-DE 44 92 45 8C 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E 4D 34 44 30 FF 98 47 D2 45 8C 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E 4D 86 46
-F2 44 E0 45 EA 4C 8C 49 03 0D 20 20 DC 45 F0 4C
-FE 47 05 41 42 4F 52 54 3F 40 80 1C C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 48 B0 12 62 52 A2 B3
-FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02 92 C3
-FC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 FC 05 F3 23 87 12 8C 49 04 1B 5B 37
-6D 00 70 49 70 49 8C 49 04 1B 5B 30 6D 00 70 49
-70 51 B2 51 B8 51 00 52 AA 49 48 4D 42 4D 86 41
-42 4F 52 54 22 00 87 12 C8 49 34 44 4E 4D EE 4B
-2A 44 64 4A 01 27 87 12 90 46 0C 4A 6A 4A E0 45
-D4 4D 2A 44 88 4C 96 46 81 5C 92 42 BE 1D C2 1D
-30 4D 00 00 81 5B 82 43 B6 1D 30 4D D8 4D 01 5D
-B2 43 B6 1D 30 4D E4 4D 83 5B 27 5D 87 12 C6 4D
-34 44 34 44 EE 4B EE 4B 2A 44 BE 4F 02 00 3E 4F
-30 4D 14 49 82 49 53 00 87 12 86 46 F2 44 E0 45
-2C 4E FC 4D 34 44 0A 4E EE 4B 2A 44 C6 4D 0A 4E
-2A 44 14 4E 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 1D FA D0 80 00 00 00 30 4D C4 49 87 52 45 43
-55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
-C4 1D 30 4D CE 4C 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 46 0C 4A 6A 4A 54 44 E0 45 D4 4D 92 45
-E0 45 90 4E 34 44 34 44 EE 4B EE 4B 34 44 EE 4B
-EE 4B 2A 44 82 9F B4 1D A0 24 87 12 8C 49 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 4D
-87 12 E8 49 90 46 0C 4A BA 4E 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
-C4 1D 3E 4F 3D 41 30 41 06 4A 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 4E BA 40 86 12 FC FF 71 3C
-30 4C 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 4E
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 4F
-06 43 52 45 41 54 45 00 B0 12 B0 4E BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E 4C 05 44 4F 45 53 3E
-1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A 4F 05 44 45 46 45 52 B0 12 B0 4E BA 40
-30 40 FC FF BA 40 50 4F FE FF 3B 3C F8 4D 01 3A
-B0 12 B0 4E BA 40 87 12 FC FF A2 83 C4 1D B2 43
-B6 1D 82 4F B4 1D 30 4D 6E 4F 81 3B 82 93 B6 1D
-24 27 87 12 34 44 2A 44 EE 4B 94 4E E6 4D 2A 44
-A2 4F 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 4C 06 4D 41 52 4B 45 52 00 B0 12 B0 4E BA 40
-84 12 FC FF BA 40 A0 4F FE FF 9A 42 C6 1D 00 00
-28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
-B0 1D A8 49 FE FF 89 48 00 00 30 4D 34 4E 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
-BE 40 E0 45 00 00 2E 53 30 4D 54 4F 84 45 4C 53
-45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC 45 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A 49 84 54 48 45
-4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 20 4F 85 42
-45 47 49 4E 30 40 98 47 2C 50 85 55 4E 54 49 4C
-39 40 E0 45 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE 4D 85 41 47 41 49 4E
-39 40 DC 45 EF 3F EA 4E 85 57 48 49 4C 45 87 12
-F2 4F 78 44 2A 44 4C 4E 86 52 45 50 45 41 54 00
-87 12 70 50 32 50 2A 44 0C 50 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 45
-FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
-B2 4F 84 4C 4F 4F 50 00 39 40 12 46 A2 52 C4 1D
-1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
-A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 47 85 2B 4C 4F 4F 50 39 40 00 46
-E5 3F C2 50 85 4C 45 41 56 45 1A 42 C4 1D BA 40
-22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00 C4 1D
-A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
-04 51 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 44 CA 1D FA 44 2A 44
-84 12 68 51 FE 55 D4 55 6C 5E C4 4D BC 55 F6 50
-36 5E 6E 5D 24 52 3E 52 44 5E 8C 5D 46 45 02 5D
-EE 4D 82 5D 00 00 3A 40 0E 00 39 40 CA 1D 38 40
-CC 1D D9 3F 3A 40 0E 00 39 40 CC 1D 38 40 CA 1D
-CC 3F 82 43 CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D
-C2 51 3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 4E 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 51 B8 55 E8 5E 88 50 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 52 92 42 0C 18 06 52
-EF 3F F6 51 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 1D 04 52 92 42 C4 1D 06 52 30 4D 0A 52 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42
-C4 1D 0C 18 EC 3F B2 40 F0 53 52 54 B2 40 84 48
-78 48 B2 40 DE 48 EE 48 B2 40 1A 49 2E 49 B2 40
-EC 47 FA 47 82 43 7A 5C 82 43 86 5C 82 43 92 5C
-82 43 C2 5C 82 43 CE 5C 82 43 DA 5C B2 40 0A 00
-DA 1D 30 41 78 50 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 C6 FF FA 23 B0 12 56 52
-B2 40 E8 5E 0C 18 B2 40 B8 55 0E 18 AB 3F 3E 50
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A 4F 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 52 86 5B 45 4C 53 45 5D 00 87 12 34 44 01 00
-90 46 0C 4A 36 4C 44 44 E0 45 78 53 8A 44 8A 44
-8C 49 04 5B 49 46 5D 00 C8 52 EA 45 36 53 CA 4A
-2C 45 DC 45 6C 53 8A 44 8A 44 8C 49 06 5B 45 4C
-53 45 5D 00 C8 52 EA 45 5A 53 CA 4A FC 52 44 44
-E0 45 56 53 2C 45 DC 45 6C 53 8C 49 06 5B 54 48
-45 4E 5D 00 C8 52 EA 45 6C 53 FC 52 54 44 EA 45
-74 53 2A 44 DC 45 10 53 CA 4A 8C 49 03 0D 6B 6F
-70 49 38 49 5A 46 44 44 64 46 06 48 8E 53 82 43
-C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 10 53
-30 4D 02 53 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 53 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 46 0C 4A 6A 4A 6C 44 86 45 2A 44 B4 53
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 46 0C 4A
-6A 4A 6C 44 2A 44 EE 4F 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C 49 05 0D 1B 5B 37 6D 70 49
-82 47 8C 49 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 49 34 44
-30 FF 98 47 28 45 4E 47 8C 49 0B 62 79 74 65 73
-20 66 72 65 65 20 5A 4D 96 52 04 57 41 52 4D 00
-30 40 F0 53 C0 52 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A 5C 01 92 43 04 02 B2 40 FE FF
-02 02 A2 83 06 02 92 D3 24 02 B2 D3 26 02 B2 43
-22 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02 B2 D3
-66 02 B2 40 80 00 84 02 B2 40 7F FF 82 02 B2 D0
-7F FF 86 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00
-41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00
-61 01 B2 40 48 00 62 01 82 43 66 01 39 40 80 00
-B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18
-A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83 FE 23
-19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A2 04 3A 40 62 54 39 40 C6 FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00 0A 18
-31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40 BC 44
-35 40 08 44 34 40 14 44 B2 40 0A 00 DA 1D B2 43
-AC 1D 92 C3 30 01 18 42 08 18 F2 B0 10 00 20 02
-04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 E0 05
-B2 42 E6 05 B2 40 A1 F7 E8 05 F2 D0 30 00 2A 02
-92 C3 E0 05 92 D3 FA 05 3D 40 92 55 18 42 08 18
-38 90 0A 00 8B 26 38 90 16 00 88 2E 28 93 42 22
-37 26 50 54 84 12 68 51 DE 5B 8A 5C 92 5B DE 5C
-58 5B 12 5C 5C 58 00 00 4E 5B FE 5B B0 5B EE 5B
-6C 59 00 00 00 00 F0 5C 94 51 E8 53 85 48 49 32
-4C 4F 87 12 98 47 06 50 EE 4B E6 4D 96 51 94 55
-2A 44 56 54 04 43 4F 44 45 00 B0 12 B0 4E A2 82
-C4 1D 87 12 82 4F DC 45 CC 55 00 00 07 45 4E 44
-43 4F 44 45 87 12 A4 51 94 4E 2A 44 6A 50 03 41
-53 4D 92 42 C8 1D B8 1D B2 40 98 55 C8 1D E5 3F
-EC 55 06 45 4E 44 41 53 4D 00 92 42 B8 1D C8 1D
-E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D BA 40
-87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40 A4 51
-00 00 05 4C 4F 32 48 49 1A 42 C4 1D BA 40 B0 12
-00 00 BA 40 2A 44 02 00 A2 52 C4 1D ED 3F 38 40
-BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A 03 24
-7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D 30 4D
-B0 12 2A 44 0C 4A 6A 4A EA 45 94 56 26 4B E0 45
-D4 4D B6 56 96 56 29 4E 39 90 86 12 02 20 2E 53
-30 41 39 90 85 12 03 20 1E 4E 02 00 30 41 39 90
-84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53 C4 1D
-89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53 C2 1D
-B0 12 2A 44 0C 4A 26 4B E0 45 E8 56 DE 56 21 53
-3E 90 10 00 BB 2D 30 41 EA 56 B2 41 C2 1D 22 D3
-30 41 87 12 90 46 5E 56 FA 56 82 43 BC 1D 92 42
-C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90 23 00
-00 00 34 20 92 53 C2 1D B0 12 80 56 0E 93 04 20
-B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40 10 03
-BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D 1B 3C
-2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92 04 20
-B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40 30 03
-BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D A2 53
-C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90 26 00
-00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D 30 12
-6A 57 76 3F FA 90 40 00 00 00 1A 20 B2 40 20 00
-BC 1D 92 53 C2 1D B0 12 C8 56 0E 20 B2 50 10 00
-BC 1D 3E 40 2B 00 B0 12 C8 56 32 24 92 92 BE 1D
-C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D D3 3F
-B0 12 C8 56 F9 23 B2 50 10 00 BC 1D 3E 40 28 00
-B0 12 80 56 30 12 BA 57 67 3F 87 12 90 46 5E 56
-F2 57 FE 90 26 00 00 00 3E 40 20 00 04 20 B2 50
-82 00 BC 1D C2 3F B0 12 C8 56 DF 23 B2 50 80 00
-BC 1D 3E 40 28 00 B0 12 80 56 B0 12 B8 56 D5 23
-3D 40 D4 4D 30 4D 70 48 04 52 45 54 49 00 87 12
-34 44 00 13 EE 4B 2A 44 34 44 2C 00 F2 56 EA 57
-42 58 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F 42 56
-03 4D 4F 56 84 12 38 58 00 40 50 58 05 4D 4F 56
-2E 42 84 12 38 58 40 40 00 00 03 41 44 44 84 12
-38 58 00 50 6A 58 05 41 44 44 2E 42 84 12 38 58
-40 50 76 58 04 41 44 44 43 00 84 12 38 58 00 60
-84 58 06 41 44 44 43 2E 42 00 84 12 38 58 40 60
-28 58 04 53 55 42 43 00 84 12 38 58 00 70 A2 58
-06 53 55 42 43 2E 42 00 84 12 38 58 40 70 B0 58
-03 53 55 42 84 12 38 58 00 80 C0 58 05 53 55 42
-2E 42 84 12 38 58 40 80 24 56 03 43 4D 50 84 12
-38 58 00 90 DA 58 05 43 4D 50 2E 42 84 12 38 58
-40 90 12 56 04 44 41 44 44 00 84 12 38 58 00 A0
-F4 58 06 44 41 44 44 2E 42 00 84 12 38 58 40 A0
-E6 58 03 42 49 54 84 12 38 58 00 B0 12 59 05 42
-49 54 2E 42 84 12 38 58 40 B0 1E 59 03 42 49 43
-84 12 38 58 00 C0 2C 59 05 42 49 43 2E 42 84 12
-38 58 40 C0 38 59 03 42 49 53 84 12 38 58 00 D0
-46 59 05 42 49 53 2E 42 84 12 38 58 40 D0 00 00
-03 58 4F 52 84 12 38 58 00 E0 60 59 05 58 4F 52
-2E 42 84 12 38 58 40 E0 92 58 03 41 4E 44 84 12
-38 58 00 F0 7A 59 05 41 4E 44 2E 42 84 12 38 58
-40 F0 90 46 F2 56 98 59 1A 42 BC 1D B2 F0 70 00
-BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F CC 58
-03 52 52 43 84 12 92 59 00 10 B0 59 05 52 52 43
-2E 42 84 12 92 59 40 10 BC 59 04 53 57 50 42 00
-84 12 92 59 80 10 CA 59 03 52 52 41 84 12 92 59
-00 11 D8 59 05 52 52 41 2E 42 84 12 92 59 40 11
-E4 59 03 53 58 54 84 12 92 59 80 11 00 00 04 50
-55 53 48 00 84 12 92 59 00 12 FE 59 06 50 55 53
-48 2E 42 00 84 12 92 59 40 12 52 59 04 43 41 4C
-4C 00 84 12 92 59 80 12 34 44 2C 00 F2 56 EA 57
-32 5A 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D BE 90
-00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49 3A 90
-10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12 82 47
-8C 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E 64 73
-54 4D 0C 5A 05 50 55 53 48 4D 84 12 28 5A 00 15
-74 5A 04 50 4F 50 4D 00 84 12 28 5A 00 17 90 46
-5E 56 94 5A 82 43 BC 1D 92 42 C4 1D BA 1D A2 53
-C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 44 0C 4A
-26 4B E0 45 D4 4D EA 57 BA 5A 0A 4E 3E 4F 1A 83
-2A 92 CA 2F 8A 10 5A 06 6F 3F F2 59 04 52 52 43
-4D 00 84 12 8E 5A 50 00 CC 5A 04 52 52 41 4D 00
-84 12 8E 5A 50 01 DA 5A 04 52 4C 41 4D 00 84 12
-8E 5A 50 02 E8 5A 04 52 52 55 4D 00 84 12 8E 5A
-50 03 85 12 00 3C F6 5A 03 53 3E 3D 85 12 00 38
-08 5B 02 53 3C 00 85 12 00 34 82 5A 03 30 3E 3D
-85 12 00 30 1C 5B 02 30 3C 00 85 12 00 30 00 00
-02 55 3C 00 85 12 00 2C 30 5B 03 55 3E 3D 85 12
-00 28 26 5B 03 30 3C 3E 85 12 00 24 44 5B 02 30
-3D 00 85 12 00 20 7C 48 02 49 46 00 1A 42 C4 1D
-8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 3A 5B 04 54
-48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48 29 53
-0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00 30 4D
-02 59 04 45 4C 53 45 00 1A 42 C4 1D BA 40 00 3C
-00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F 6E 5B
-05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42 C4 1D
-2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0 FF 03
-08 DA 89 48 00 00 A2 53 C4 1D 30 4D 86 59 05 41
-47 41 49 4E 87 12 02 5B B6 5B 2A 44 00 00 05 57
-48 49 4C 45 87 12 5C 5B 78 44 2A 44 12 5B 06 52
-45 50 45 41 54 00 87 12 02 5B B6 5B 74 5B 2A 44
-00 00 03 4A 4D 50 87 12 C6 4D 02 5B B6 5B 2A 44
-3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90 00 34
-06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38 30 4D
-00 00 04 3F 4A 4D 50 00 87 12 20 5C C6 4D 78 44
-B6 5B 2A 44 56 5C 3D 41 08 4E 3E 4F 2A 48 0A 93
-04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00 A4 3F
-1C 5A 03 42 57 31 84 12 54 5C 00 00 72 5C 03 42
-57 32 84 12 54 5C 00 00 7E 5C 03 42 57 33 84 12
-54 5C 00 00 96 5C 3D 41 1A 42 C4 1D 28 4E 08 93
-08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00 3E 4F
-30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31 84 12
-94 5C 00 00 BA 5C 03 46 57 32 84 12 94 5C 00 00
-C6 5C 03 46 57 33 84 12 94 5C 00 00 D2 5C 04 47
-4F 54 4F 00 87 12 02 5B C6 4D E4 4B 2A 44 42 5C
-05 3F 47 4F 54 4F 87 12 20 5C C6 4D E4 4B 2A 44
-D0 53 09 7B 55 54 49 4C 49 54 59 7D 30 4D F4 49
-02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E FA FF
-3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00 2E 8F
-0E 11 87 12 34 44 3C 00 EC 48 82 47 34 44 08 00
-EC 48 34 44 3E 00 EC 48 38 49 8A 44 8A 44 C4 45
-EA 45 5A 5D 62 44 62 44 2A 44 F0 45 28 46 F2 44
-4E 47 34 44 02 00 00 46 5C 5D 2A 44 10 5D 03 2E
-52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C D3 3F
-D2 4A 01 3F 2E 4E 30 40 4E 47 4A 54 05 57 4F 52
-44 53 87 12 2C 49 34 44 03 00 4E 49 34 44 CA 1D
-F2 44 50 46 34 44 10 00 44 44 20 45 38 51 34 44
-00 00 44 44 34 44 10 00 44 44 20 45 34 44 00 00
-F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45 E0 45
-E0 5D 62 44 62 44 28 46 44 44 50 46 20 45 F2 44
-34 44 02 00 00 46 C2 5D 54 44 E0 45 22 5E 44 44
-34 44 02 00 28 45 F2 44 9A 44 50 46 20 45 FA 44
-44 44 36 4C 34 44 7F 00 36 45 70 49 08 45 34 44
-0F 00 36 45 34 44 10 00 78 44 28 45 4E 49 DC 45
-AE 5D 62 44 2A 44 32 51 03 4D 41 58 2E 9F 07 38
-2F 53 30 4D 28 5E 03 4D 49 4E 2E 9F F9 3B 3E 4F
-30 4D 4A 50 03 55 2E 52 87 12 B0 44 9A 46 34 44
-00 00 A6 46 FE 46 18 47 BC 44 8A 44 28 45 34 44
-00 00 2C 5E 4E 49 70 49 2A 44 9A 50 04 44 55 4D
-50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D 2E 5F
-BF F0 F0 FF 00 00 B0 12 2A 44 78 44 F0 45 2C 49
-28 46 34 44 07 00 48 5E 38 49 28 46 34 44 10 00
-20 45 28 46 F0 45 28 46 08 45 34 44 03 00 48 5E
-12 46 A6 5E 38 49 38 49 28 46 34 44 10 00 20 45
-28 46 F0 45 28 46 08 45 34 44 7E 00 3A 5E 90 46
-2C 5E EC 48 12 46 C4 5E 34 44 10 00 00 46 8E 5E
-BC 44 7A 46 FA 44 2A 44
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 46 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 46 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 46 02 23 53 00 87 12 F6 46 2E 47 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 47 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 46 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 46
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 46 02 55 2E 00 87 12 9A 46 34 44 00 00
+28 47 42 47 A2 49 6A 49 2A 44 68 45 02 44 2E 00
+87 12 9A 46 78 44 8A 44 6E 45 28 47 9A 44 66 47
+42 47 A2 49 6A 49 2A 44 50 45 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 47 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 45
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 47
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 48 92 B3
+FC 05 FD 27 1E 42 EC 05 B0 12 76 48 30 4D BC 47
+05 28 4B 45 59 29 18 42 EC 05 EC 3F 38 46 03 4B
+45 59 30 40 16 48 D0 47 06 41 43 43 45 50 54 00
+3C 40 FA 48 3B 40 AC 48 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE 48 92 B3 FC 05
+05 24 18 42 EC 05 38 90 0A 00 04 20 21 53 39 40
+90 48 4D 15 B2 40 11 00 EE 05 D2 C3 22 02 A2 B3
+FC 05 FD 27 30 41 B2 40 13 00 EE 05 D2 D3 22 02
+A2 B3 FC 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E 48 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 48 0F 3C C6 48 38 40 20 00 3D 52 0A 3C D0 48
+78 42 3D 40 EE 48 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 EE 05 A2 B3 FC 05 FD 27 30 4D F0 48
+2D 83 92 B3 FC 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 48 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C 47 04 45 4D 49 54 00 30 40
+10 49 18 49 04 45 43 48 4F 00 B2 40 82 48 E2 48
+30 4D AA 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 48 30 4D 08 49 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 47 02 43 52 00 30 40
+4C 49 60 47 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 49 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C 49 EF 3F 8E 49 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 47 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 45 28 46 08 45 1E 49 12 46 B2 49 2A 44 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D
+30 4D D4 49 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 1D 30 4D 78 49 82 53 22 00 87 12 34 44 BE 49
+64 4C EE 49 34 44 22 00 3E 4A DC 49 0E 4A 3D 41
+6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63
+C4 1D 30 4D 34 49 82 2E 22 00 87 12 FA 49 34 44
+A2 49 64 4C 2A 44 00 00 04 57 4F 52 44 00 3C 40
+BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 4B
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC 46
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 49 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 45 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E 00 00
+3E 4F 30 4D 62 4C 87 4C 49 54 45 52 41 4C 82 93
+B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40 34 44
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 49 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 82 43 C2 1D 87 12 90 46 3E 4A D0 4C
+3D 40 DC 4C E3 22 3D 41 3E 4F 30 4D DE 4C 0A 4E
+3E 4F 3D 40 F4 4C 3B 27 3D 40 CA 4C 1A E2 B6 1D
+B9 27 B3 23 F6 4C 3E 4F 3D 40 CA 4C C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 4F
+CD 3F 52 4C 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44 BA 4C
+32 4D B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D 3D 41
+30 4D 4C 46 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12 2A 44
+BE 49 03 0D 6F 6B A2 49 6A 49 5A 46 44 44 64 46
+30 48 6A 49 BA 4C DE 44 92 45 BE 49 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 4D 34 44 30 FF
+C2 47 D2 45 BE 49 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 4D 86 46 F2 44 E0 45 60 4D BE 49 03 0D
+20 20 DC 45 66 4D 28 48 05 41 42 4F 52 54 3F 40
+80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 48
+B0 12 46 53 A2 B3 FC 05 FD 27 B2 40 11 00 EE 05
+D2 C3 22 02 92 C3 FC 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 FC 05 F3 23 87 12
+BE 49 04 1B 5B 37 6D 00 A2 49 A2 49 BE 49 04 1B
+5B 30 6D 00 A2 49 24 52 82 52 96 52 E4 52 DC 49
+BE 4D B8 4D 86 41 42 4F 52 54 22 00 87 12 FA 49
+34 44 C4 4D 64 4C 2A 44 96 4A 01 27 87 12 90 46
+3E 4A 9C 4A E0 45 4A 4E 2A 44 FE 4C 96 46 81 5C
+92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43 B6 1D
+30 4D 4E 4E 01 5D B2 43 B6 1D 30 4D 5A 4E 83 5B
+27 5D 87 12 3C 4E 34 44 34 44 64 4C 64 4C 2A 44
+BE 4F 02 00 3E 4F 30 4D 46 49 82 49 53 00 87 12
+86 46 F2 44 E0 45 A2 4E 72 4E 34 44 80 4E 64 4C
+2A 44 3C 4E 80 4E 2A 44 8A 4E 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00 30 4D
+F6 49 87 52 45 43 55 52 53 45 19 42 C4 1D 99 42
+B2 1D 00 00 A2 53 C4 1D 30 4D 44 4D 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 46 3E 4A 9C 4A 54 44
+E0 45 4A 4E 92 45 E0 45 06 4F 34 44 34 44 64 4C
+64 4C 34 44 64 4C 64 4C 2A 44 82 9F B4 1D A0 24
+87 12 BE 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA 4D 87 12 1A 4A 90 46 3E 4A 30 4F
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D 82 4A
+B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41 38 4A
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 4F BA 40
+86 12 FC FF 71 3C A6 4C 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 4F BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 4F 06 43 52 45 41 54 45 00 B0 12
+26 4F BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 4D
+05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 4F 05 44 45 46 45 52
+B0 12 26 4F BA 40 30 40 FC FF BA 40 C6 4F FE FF
+3B 3C 6E 4E 01 3A B0 12 26 4F BA 40 87 12 FC FF
+A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D E4 4F
+81 3B 82 93 B6 1D 24 27 87 12 34 44 2A 44 64 4C
+0A 4F 5C 4E 2A 44 18 50 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 4C 06 4D 41 52 4B 45 52 00
+B0 12 26 4F BA 40 84 12 FC FF BA 40 16 50 FE FF
+9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52 C4 1D
+18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48 00 00
+30 4D AA 4E 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 1D A2 52 C4 1D BE 40 E0 45 00 00 2E 53 30 4D
+CA 4F 84 45 4C 53 45 00 A2 52 C4 1D 1A 42 C4 1D
+BA 40 DC 45 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C 49 84 54 48 45 4E 00 9E 42 C4 1D 00 00 3E 4F
+30 4D 96 4F 85 42 45 47 49 4E 30 40 C2 47 A2 50
+85 55 4E 54 49 4C 39 40 E0 45 A2 52 C4 1D 1A 42
+C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 4E
+85 41 47 41 49 4E 39 40 DC 45 EF 3F 60 4F 85 57
+48 49 4C 45 87 12 68 50 78 44 2A 44 C2 4E 86 52
+45 50 45 41 54 00 87 12 E6 50 A8 50 2A 44 82 50
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D 1E 42
+C4 1D BE 40 F0 45 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D 28 50 84 4C 4F 4F 50 00 39 40
+12 46 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E 48 85 2B 4C 4F
+4F 50 39 40 00 46 E5 3F 38 51 85 4C 45 41 56 45
+1A 42 C4 1D BA 40 22 46 00 00 BA 40 DC 45 02 00
+B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 7A 51 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE 50
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E 4F
+34 44 10 00 34 44 00 00 F0 45 34 44 00 00 64 4C
+12 46 FA 51 C2 47 34 44 C6 1D 44 44 F2 44 64 4C
+FA 44 B6 4F 34 44 CA 1D FA 44 2A 44 3A 4E 05 46
+4F 52 54 48 84 12 14 52 F0 56 C6 56 76 61 40 61
+FA 5F 6C 51 28 5F 60 5E 08 53 84 61 36 5F 7E 5E
+46 45 EA 5F 64 4E 74 5E 00 00 E0 50 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D B6 3F
+DC 4E 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 1D 38 40 CA 1D A3 3F 26 4A 04 4F 4E 4C
+59 00 82 43 CC 1D 30 4D 10 51 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D 24 52
+82 52 96 52 A6 52 3A 4E 82 4A C6 1D 2E 4E 82 4E
+C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 52 09 50 57 52 5F 53
+54 41 54 45 84 12 9E 52 AA 56 A8 61 FE 50 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 52 92 42
+0C 18 EA 52 EF 3F DA 52 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D E8 52 92 42 C4 1D EA 52 30 4D
+EE 52 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
+0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 D4 54 38 55
+B2 40 9E 48 92 48 B2 40 10 49 20 49 B2 40 4C 49
+60 49 B2 40 16 48 24 48 82 43 6C 5D 82 43 78 5D
+82 43 84 5D 82 43 B4 5D 82 43 C0 5D 82 43 CC 5D
+B2 40 0A 00 DA 1D 30 41 E0 51 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 C6 FF FA 23
+B0 12 3A 53 B2 40 A8 61 0C 18 B2 40 AA 56 0E 18
+AB 3F B4 50 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 50 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 53 86 5B 45 4C 53 45 5D 00 87 12
+34 44 01 00 90 46 3E 4A AC 4C 44 44 E0 45 5C 54
+8A 44 8A 44 BE 49 04 5B 49 46 5D 00 AC 53 EA 45
+1A 54 FC 4A 2C 45 DC 45 50 54 8A 44 8A 44 BE 49
+06 5B 45 4C 53 45 5D 00 AC 53 EA 45 3E 54 FC 4A
+E0 53 44 44 E0 45 3A 54 2C 45 DC 45 50 54 BE 49
+06 5B 54 48 45 4E 5D 00 AC 53 EA 45 50 54 E0 53
+54 44 EA 45 58 54 2A 44 DC 45 F4 53 FC 4A BE 49
+03 0D 6B 6F A2 49 6A 49 5A 46 44 44 64 46 30 48
+72 54 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 F4 53 30 4D E6 53 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 54 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 46 3E 4A 9C 4A 6C 44 86 45
+2A 44 98 54 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 46 3E 4A 9C 4A 6C 44 2A 44 64 50 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE 49 06 0D 1B 5B
+37 6D 23 00 A2 49 AC 47 BE 49 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 49 34 44 30 FF C2 47 28 45 78 47 BE 49
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 4D 7A 53
+04 57 41 52 4D 00 30 40 D4 54 A4 53 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 43
+04 02 B2 40 FE FF 02 02 A2 83 06 02 92 D3 24 02
+B2 D3 26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02
+B2 43 62 02 B2 D3 66 02 B2 40 80 00 84 02 B2 40
+7F FF 82 02 B2 D0 7F FF 86 02 F2 43 22 03 F2 D3
+26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
+41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
+66 01 39 40 80 00 B2 40 33 00 64 01 D2 43 61 01
+92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
+C2 A2 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
+10 00 2A 03 F2 C0 40 00 A2 04 3A 40 48 55 39 40
+C6 FF 89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF
+B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C 37 40
+00 44 36 40 BC 44 35 40 08 44 34 40 14 44 B2 40
+0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42 08 18
+F2 B0 10 00 20 02 04 20 38 E3 18 53 82 48 08 18
+B2 40 81 00 E0 05 B2 42 E6 05 B2 40 A1 F7 E8 05
+F2 D0 30 00 2A 02 92 C3 E0 05 92 D3 FA 05 3D 40
+78 56 18 42 08 18 38 90 0A 00 8A 26 38 90 16 00
+87 2E 28 93 41 22 36 26 36 55 4C 52 09 41 53 53
+45 4D 42 4C 45 52 84 12 14 52 D0 5C 7C 5D 84 5C
+D0 5D 4A 5C 04 5D 4E 59 00 00 40 5C F0 5C A2 5C
+E0 5C 5E 5A 00 00 00 00 E2 5D 48 52 CC 54 85 48
+49 32 4C 4F 87 12 C2 47 7C 50 64 4C 5C 4E 52 52
+86 56 2A 44 3C 55 04 43 4F 44 45 00 B0 12 26 4F
+A2 82 C4 1D 87 12 F8 4F DC 45 BE 56 00 00 07 45
+4E 44 43 4F 44 45 87 12 6C 52 0A 4F 2A 44 7C 56
+03 41 53 4D 92 42 C8 1D B8 1D B2 40 8A 56 C8 1D
+E5 3F DE 56 06 45 4E 44 41 53 4D 00 92 42 B8 1D
+C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D
+BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40
+6C 52 A4 46 05 4C 4F 32 48 49 1A 42 C4 1D BA 40
+B0 12 00 00 BA 40 2A 44 02 00 A2 52 C4 1D ED 3F
+38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A
+03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D
+30 4D B0 12 2A 44 3E 4A 9C 4A EA 45 86 57 5E 4B
+E0 45 4A 4E A8 57 88 57 29 4E 39 90 86 12 02 20
+2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
+39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53
+C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53
+C2 1D B0 12 2A 44 3E 4A 5E 4B E0 45 DA 57 D0 57
+21 53 3E 90 10 00 BB 2D 30 41 DC 57 B2 41 C2 1D
+22 D3 30 41 87 12 90 46 50 57 EC 57 82 43 BC 1D
+92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90
+23 00 00 00 34 20 92 53 C2 1D B0 12 72 57 0E 93
+04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40
+10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D
+1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92
+04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40
+30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D
+A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
+26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D
+30 12 5C 58 76 3F FA 90 40 00 00 00 1A 20 B2 40
+20 00 BC 1D 92 53 C2 1D B0 12 BA 57 0E 20 B2 50
+10 00 BC 1D 3E 40 2B 00 B0 12 BA 57 32 24 92 92
+BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D
+D3 3F B0 12 BA 57 F9 23 B2 50 10 00 BC 1D 3E 40
+28 00 B0 12 72 57 30 12 AC 58 67 3F 87 12 90 46
+50 57 E4 58 FE 90 26 00 00 00 3E 40 20 00 04 20
+B2 50 82 00 BC 1D C2 3F B0 12 BA 57 DF 23 B2 50
+80 00 BC 1D 3E 40 28 00 B0 12 72 57 B0 12 AA 57
+D5 23 3D 40 4A 4E 30 4D 8A 48 04 52 45 54 49 00
+87 12 34 44 00 13 64 4C 2A 44 34 44 2C 00 E4 57
+DC 58 34 59 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F
+34 57 03 4D 4F 56 84 12 2A 59 00 40 42 59 05 4D
+4F 56 2E 42 84 12 2A 59 40 40 00 00 03 41 44 44
+84 12 2A 59 00 50 5C 59 05 41 44 44 2E 42 84 12
+2A 59 40 50 68 59 04 41 44 44 43 00 84 12 2A 59
+00 60 76 59 06 41 44 44 43 2E 42 00 84 12 2A 59
+40 60 1A 59 04 53 55 42 43 00 84 12 2A 59 00 70
+94 59 06 53 55 42 43 2E 42 00 84 12 2A 59 40 70
+A2 59 03 53 55 42 84 12 2A 59 00 80 B2 59 05 53
+55 42 2E 42 84 12 2A 59 40 80 16 57 03 43 4D 50
+84 12 2A 59 00 90 CC 59 05 43 4D 50 2E 42 84 12
+2A 59 40 90 04 57 04 44 41 44 44 00 84 12 2A 59
+00 A0 E6 59 06 44 41 44 44 2E 42 00 84 12 2A 59
+40 A0 D8 59 03 42 49 54 84 12 2A 59 00 B0 04 5A
+05 42 49 54 2E 42 84 12 2A 59 40 B0 10 5A 03 42
+49 43 84 12 2A 59 00 C0 1E 5A 05 42 49 43 2E 42
+84 12 2A 59 40 C0 2A 5A 03 42 49 53 84 12 2A 59
+00 D0 38 5A 05 42 49 53 2E 42 84 12 2A 59 40 D0
+00 00 03 58 4F 52 84 12 2A 59 00 E0 52 5A 05 58
+4F 52 2E 42 84 12 2A 59 40 E0 84 59 03 41 4E 44
+84 12 2A 59 00 F0 6C 5A 05 41 4E 44 2E 42 84 12
+2A 59 40 F0 90 46 E4 57 8A 5A 1A 42 BC 1D B2 F0
+70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F
+BE 59 03 52 52 43 84 12 84 5A 00 10 A2 5A 05 52
+52 43 2E 42 84 12 84 5A 40 10 AE 5A 04 53 57 50
+42 00 84 12 84 5A 80 10 BC 5A 03 52 52 41 84 12
+84 5A 00 11 CA 5A 05 52 52 41 2E 42 84 12 84 5A
+40 11 D6 5A 03 53 58 54 84 12 84 5A 80 11 00 00
+04 50 55 53 48 00 84 12 84 5A 00 12 F0 5A 06 50
+55 53 48 2E 42 00 84 12 84 5A 40 12 44 5A 04 43
+41 4C 4C 00 84 12 84 5A 80 12 34 44 2C 00 E4 57
+DC 58 24 5B 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D
+BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
+3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
+AC 47 BE 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
+64 73 CA 4D FE 5A 05 50 55 53 48 4D 84 12 1A 5B
+00 15 66 5B 04 50 4F 50 4D 00 84 12 1A 5B 00 17
+90 46 50 57 86 5B 82 43 BC 1D 92 42 C4 1D BA 1D
+A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 44
+3E 4A 5E 4B E0 45 4A 4E DC 58 AC 5B 0A 4E 3E 4F
+1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F E4 5A 04 52
+52 43 4D 00 84 12 80 5B 50 00 BE 5B 04 52 52 41
+4D 00 84 12 80 5B 50 01 CC 5B 04 52 4C 41 4D 00
+84 12 80 5B 50 02 DA 5B 04 52 52 55 4D 00 84 12
+80 5B 50 03 85 12 00 3C E8 5B 03 53 3E 3D 85 12
+00 38 FA 5B 02 53 3C 00 85 12 00 34 74 5B 03 30
+3E 3D 85 12 00 30 0E 5C 02 30 3C 00 85 12 00 30
+00 00 02 55 3C 00 85 12 00 2C 22 5C 03 55 3E 3D
+85 12 00 28 18 5C 03 30 3C 3E 85 12 00 24 36 5C
+02 30 3D 00 85 12 00 20 96 48 02 49 46 00 1A 42
+C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 2C 5C
+04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48
+29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
+30 4D F4 59 04 45 4C 53 45 00 1A 42 C4 1D BA 40
+00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F
+60 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
+C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
+FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D 78 5A
+05 41 47 41 49 4E 87 12 F4 5B A8 5C 2A 44 00 00
+05 57 48 49 4C 45 87 12 4E 5C 78 44 2A 44 04 5C
+06 52 45 50 45 41 54 00 87 12 F4 5B A8 5C 66 5C
+2A 44 00 00 03 4A 4D 50 87 12 3C 4E F4 5B A8 5C
+2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
+00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
+30 4D 00 00 04 3F 4A 4D 50 00 87 12 12 5D 3C 4E
+78 44 A8 5C 2A 44 48 5D 3D 41 08 4E 3E 4F 2A 48
+0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00
+A4 3F 0E 5B 03 42 57 31 84 12 46 5D 00 00 64 5D
+03 42 57 32 84 12 46 5D 00 00 70 5D 03 42 57 33
+84 12 46 5D 00 00 88 5D 3D 41 1A 42 C4 1D 28 4E
+08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00
+3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
+84 12 86 5D 00 00 AC 5D 03 46 57 32 84 12 86 5D
+00 00 B8 5D 03 46 57 33 84 12 86 5D 00 00 C4 5D
+04 47 4F 54 4F 00 87 12 F4 5B 3C 4E 5A 4C 2A 44
+34 5D 05 3F 47 4F 54 4F 87 12 12 5D 3C 4E 5A 4C
+2A 44 B4 54 09 7B 55 54 49 4C 49 54 59 7D 30 4D
+7C 52 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
+FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
+2E 8F 0E 11 87 12 34 44 3C 00 1E 49 AC 47 34 44
+08 00 1E 49 34 44 3E 00 1E 49 6A 49 8A 44 8A 44
+C4 45 EA 45 4C 5E 62 44 62 44 2A 44 F0 45 28 46
+F2 44 78 47 34 44 02 00 00 46 4E 5E 2A 44 02 5E
+03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
+D3 3F 04 4B 01 3F 2E 4E 30 40 78 47 30 55 05 57
+4F 52 44 53 87 12 5E 49 34 44 03 00 80 49 34 44
+CA 1D F2 44 50 46 34 44 10 00 44 44 20 45 AE 51
+34 44 00 00 44 44 34 44 10 00 44 44 20 45 34 44
+00 00 F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45
+E0 45 D2 5E 62 44 62 44 28 46 44 44 50 46 20 45
+F2 44 34 44 02 00 00 46 B4 5E 54 44 E0 45 14 5F
+44 44 34 44 02 00 28 45 F2 44 9A 44 50 46 20 45
+FA 44 44 44 AC 4C 34 44 7F 00 36 45 A2 49 08 45
+34 44 0F 00 36 45 34 44 10 00 78 44 28 45 80 49
+DC 45 A0 5E 62 44 2A 44 A8 51 03 4D 41 58 2E 9F
+07 38 2F 53 30 4D 1A 5F 03 4D 49 4E 2E 9F F9 3B
+3E 4F 30 4D C0 50 03 55 2E 52 87 12 B0 44 9A 46
+34 44 00 00 F6 46 28 47 42 47 BC 44 8A 44 28 45
+34 44 00 00 1E 5F 80 49 A2 49 2A 44 8A 52 04 44
+55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D
+2E 5F B0 12 2A 44 78 44 8A 44 8A 44 78 47 34 44
+01 00 28 45 78 47 34 44 F0 FF 36 45 F0 45 5E 49
+28 46 34 44 07 00 3A 5F 6A 49 28 46 34 44 10 00
+20 45 28 46 F0 45 28 46 08 45 34 44 03 00 3A 5F
+12 46 A6 5F 6A 49 6A 49 28 46 34 44 10 00 20 45
+28 46 F0 45 28 46 08 45 34 44 7E 00 2C 5F 90 46
+1E 5F 1E 49 12 46 C4 5F 34 44 10 00 00 46 8E 5F
+BC 44 7A 46 FA 44 2A 44 F4 5D 0A 7B 46 49 58 50
+4F 49 4E 54 7D 00 30 4D AE 56 05 48 4F 4C 44 53
+39 4F 09 5E 18 42 AA 1D 19 83 1E 83 04 28 18 83
+E8 49 00 00 F9 3F 82 48 AA 1D 3E 4F 30 4D 1E 52
+02 46 2B 00 BF 5F 02 00 3E 6F 30 4D 20 60 02 46
+2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D 2E 60
+02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F 04 00
+19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3 18 53
+09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3 9F 53
+00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00 0A 9E
+01 20 09 96 02 28 09 86 0A 7E 07 67 04 64 15 83
+09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86 0A 7E
+12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17 3C F3
+06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63 30 4D
+40 60 03 46 23 53 2F 83 8F 4E 00 00 2B 42 B2 90
+0A 00 DA 1D 01 20 1B 53 0C 43 A2 4F C0 04 92 42
+DA 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04 3E 90
+0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E 88 1D
+1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40 88 1D
+78 3F C2 60 02 46 2A 00 92 4F 04 00 D4 04 92 4F
+02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52 00 3C
+00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D 14 61
+02 46 2E 00 87 12 9A 46 44 44 B0 44 6E 45 78 44
+C6 60 34 44 2C 00 58 47 28 47 BC 44 66 47 42 47
+A2 49 6A 49 2A 44 22 53 03 53 3E 46 2F 83 8F 43
+00 00 30 4D 5E 5F 03 44 3E 46 2E 4F 8F 43 00 00
+30 4D 68 61 09 32 43 4F 4E 53 54 41 4E 54 87 12
+9E 4F 78 44 64 4C 64 4C B6 4F 9C 61 2F 83 BF 4E
+00 00 2E 4E 3D 41 30 4D
 @FFC6
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 8A 48
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 62 54 62 54 62 54
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 A4 48
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 48 55 48 55 48 55
 q
index 9688703..c5f2fd6 100644 (file)
@@ -1,6 +1,6 @@
 @1800
-10 00 8A 48 80 3E 00 24 05 00 18 00 EA 5E BA 55
-4A 48 5C 48 00 00 00 00
+10 00 A4 48 80 3E 00 24 05 00 18 00 AA 61 AC 56
+64 48 76 48 00 00 00 00
 @1DAA
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
@@ -51,398 +51,442 @@ AC 44 01 3E 3E 8F F3 3B 0E 43 30 4D 00 00 02 55
 C2 1D 60 46 04 42 41 53 45 00 85 12 DA 1D C8 44
 05 53 54 41 54 45 85 12 B6 1D 74 46 02 42 4C 00
 85 12 20 00 B4 45 02 3C 23 00 B2 40 AA 1D AA 1D
-30 4D 8C 46 01 23 5B 42 DA 1D 0E 93 2C 4F 0A 43
-34 40 20 00 04 20 04 11 0E 4C 0C 43 09 43 0C 5C
-0E 6E 0A 6A 0A 9B 01 28 0A 8B 09 69 08 68 14 83
-F6 23 34 40 14 44 8F 49 00 00 0E 48 7A 90 0A 00
-02 28 3A 50 07 00 3A 50 30 00 92 83 AA 1D 18 42
-AA 1D C8 4A 00 00 30 4D A4 46 02 23 53 00 87 12
-A6 46 04 47 2D 83 09 93 D0 23 0E 93 CF 23 3D 41
-30 4D FA 46 02 23 3E 00 9F 42 AA 1D 00 00 3E 40
-AA 1D 2E 8F 30 4D 26 46 04 48 4F 4C 44 00 0A 4E
-3E 4F DB 3F 80 46 04 53 49 47 4E 00 0E 93 3E 4F
-3A 40 2D 00 D2 33 30 4D 56 46 02 55 2E 00 87 12
-9A 46 34 44 00 00 FE 46 18 47 70 49 38 49 2A 44
-68 45 02 44 2E 00 87 12 9A 46 78 44 8A 44 6E 45
-FE 46 9A 44 3C 47 18 47 70 49 38 49 2A 44 50 45
-01 2E 0E 93 E4 37 2F 83 8F 4E 00 00 3E 43 EB 3F
-28 47 04 48 45 52 45 00 2F 83 8F 4E 00 00 1E 42
-C4 1D 30 4D 5C 45 05 41 4C 4C 4F 54 82 5E C4 1D
-3E 4F 30 4D 14 47 02 43 2C 00 1A 42 C4 1D CA 4E
-00 00 92 53 C4 1D 3E 4F 30 4D 2F 83 8F 4E 00 00
-B0 12 4A 48 92 B3 FC 05 FD 27 1E 42 EC 05 B0 12
-5C 48 30 4D 92 47 05 28 4B 45 59 29 18 42 EC 05
-EC 3F 38 46 03 4B 45 59 30 40 EC 47 A6 47 06 41
-43 43 45 50 54 00 30 40 16 48 E6 47 08 28 41 43
-43 45 50 54 29 00 3C 40 C8 48 3B 40 92 48 2D 15
-0A 4E 2E 4F 0A 5E 3B 40 0D 00 3C 40 20 00 3D 40
-BC 48 92 B3 FC 05 05 24 18 42 EC 05 38 90 0A 00
-04 20 21 53 39 40 76 48 4D 15 B2 40 11 00 EE 05
-D2 C3 22 02 A2 B3 FC 05 FD 27 30 41 B2 40 13 00
-EE 05 D2 D3 22 02 A2 B3 FC 05 FD 27 30 41 00 00
-05 53 4C 45 45 50 30 40 84 48 00 00 07 28 53 4C
-45 45 50 29 12 D2 0A 18 F6 3F 21 52 3A 17 58 42
-EC 05 48 9B E3 27 48 9C 06 2C 78 92 11 20 2E 9F
-0F 24 1E 83 05 3C 0E 9A 03 24 CE 48 00 00 1E 53
-82 48 EE 05 A2 B3 FC 05 FD 27 30 4D BE 48 2D 83
-92 B3 FC 05 FD 27 E3 23 B2 40 18 00 0A 18 3E 8F
-3D 41 30 4D 0C 48 06 28 45 4D 49 54 29 00 08 4E
-3E 4F E6 3F 62 47 04 45 4D 49 54 00 30 40 DE 48
-E6 48 04 45 43 48 4F 00 B2 40 82 48 B0 48 30 4D
-80 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D B0 48
-30 4D D6 48 04 28 43 52 29 00 2F 83 8F 4E 00 00
-3E 40 0D 00 E3 3F B6 47 02 43 52 00 30 40 1A 49
-36 47 05 53 50 41 43 45 2F 83 8F 4E 00 00 3E 40
-20 00 D4 3F 32 49 06 53 50 41 43 45 53 00 0E 93
-09 24 0D 12 3D 40 5A 49 EF 3F 5C 49 2D 83 1E 83
-EB 23 3D 41 3E 4F 30 4D 4A 47 04 54 59 50 45 00
-0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12 F0 45
-28 46 08 45 EC 48 12 46 80 49 2A 44 2F 82 8F 4E
-02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63 30 4D
-28 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D 30 4D
-A2 49 08 43 41 50 53 5F 4F 46 46 00 82 43 AC 1D
-30 4D 46 49 82 53 22 00 87 12 34 44 8C 49 EE 4B
-BC 49 34 44 22 00 0C 4A AA 49 DC 49 3D 41 6E 4E
-1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63 C4 1D
-30 4D 02 49 82 2E 22 00 87 12 C8 49 34 44 70 49
-EE 4B 2A 44 00 00 04 57 4F 52 44 00 3C 40 BE 1D
-39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24 7E 9A
-FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A 0F 24
-7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93 AC 1D
-F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F 1A 82
-C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48 00 00
-30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E 65 4C
-74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24 58 4C
-01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF 0E 93
-F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C 1A 53
-FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63 0C 49
-6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00 35 40
-08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F 30 4D
-6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F 29 4F
-2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90 0A 00
-02 28 7A 80 07 00 0A 9B 13 2C 82 49 D0 04 82 48
-D2 04 82 4B C8 04 19 42 E4 04 18 42 E6 04 09 5A
-08 63 1C 53 1E 83 E7 23 8F 49 04 00 8F 48 02 00
-8F 4C 00 00 30 4D 03 12 0D 12 1B 42 DA 1D 0B 12
-32 C0 00 02 6D 4E 0D 5E 0C 4E 7A 40 2E 00 0D 9C
-0A 28 7A 9C FC 23 32 D0 00 02 FC 4C FE FF 0D 9C
-FC 2F DE 83 00 00 09 43 08 43 3D 40 9C 4B 3F 82
-8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 90 2D 00 10 2C
-3B 40 10 00 7A 80 24 00 06 24 2B 43 5A 83 03 24
-3B 52 6A 53 B0 23 1C 53 1E 83 6A 4C 7A 90 2D 00
-AA 23 1C 53 1E 83 B1 43 04 00 A5 3F 9E 4B 2F 53
-0E 93 2C 17 82 4C DA 1D 03 24 2F 52 0E F3 30 4D
-9F 4F 02 00 04 00 BF 4F 00 00 3E E3 09 20 3E E3
-BF E3 02 00 BF E3 00 00 9F 53 02 00 8F 63 00 00
-32 B0 00 02 01 20 2F 53 30 4D F2 48 07 45 58 45
-43 55 54 45 0A 4E 3E 4F 00 4A 26 45 01 2C 1A 42
-C4 1D A2 53 C4 1D 8A 4E 00 00 3E 4F 30 4D EC 4B
-87 4C 49 54 45 52 41 4C 82 93 B6 1D 0F 24 1A 42
-C4 1D A2 52 C4 1D BA 40 34 44 00 00 8A 4E 02 00
-3E 4F 32 B0 00 02 32 C0 00 02 F1 23 30 4D B2 49
-05 43 4F 55 4E 54 2F 83 1E 53 8F 4E 00 00 5E 4E
-FF FF 30 4D 82 4E BE 1D B2 4F C0 1D 3E 4F 82 43
-C2 1D 87 12 90 46 0C 4A 5A 4C 3D 40 66 4C 05 23
-3D 41 3E 4F 30 4D 68 4C 0A 4E 3E 4F 3D 40 7E 4C
-5A 27 3D 40 54 4C 1A E2 B6 1D B9 27 B3 23 80 4C
-3E 4F 3D 40 54 4C C0 23 DE 53 00 00 68 4E 08 5E
-F8 40 3F 00 00 00 3D 40 AE 4E CD 3F DC 4B 08 45
-56 41 4C 55 41 54 45 00 39 40 BE 1D 3C 49 3B 49
-3A 49 3D 15 B0 12 2A 44 44 4C BC 4C B2 41 C2 1D
-B2 41 C0 1D B2 41 BE 1D 3D 41 30 4D 4C 46 04 51
-55 49 54 00 31 40 E0 1C B2 40 00 1C 00 1C 82 43
-B6 1D 82 43 08 18 B0 12 2A 44 8C 49 03 0D 6F 6B
-70 49 38 49 5A 46 44 44 64 46 06 48 38 49 44 4C
-DE 44 92 45 8C 49 0D 73 74 61 63 6B 20 65 6D 70
-74 79 21 20 4E 4D 34 44 30 FF 98 47 D2 45 8C 49
-0B 46 52 41 4D 20 66 75 6C 6C 21 20 4E 4D 86 46
-F2 44 E0 45 EA 4C 8C 49 03 0D 20 20 DC 45 F0 4C
-FE 47 05 41 42 4F 52 54 3F 40 80 1C C3 3F 8F 93
-02 00 BA 26 B2 40 82 48 B0 48 B0 12 62 52 A2 B3
-FC 05 FD 27 B2 40 11 00 EE 05 D2 C3 22 02 92 C3
-FC 05 38 40 A0 AA 39 42 03 43 19 83 FD 23 18 83
-FA 23 92 B3 FC 05 F3 23 87 12 8C 49 04 1B 5B 37
-6D 00 70 49 70 49 8C 49 04 1B 5B 30 6D 00 70 49
-70 51 B2 51 B8 51 00 52 AA 49 48 4D 42 4D 86 41
-42 4F 52 54 22 00 87 12 C8 49 34 44 4E 4D EE 4B
-2A 44 64 4A 01 27 87 12 90 46 0C 4A 6A 4A E0 45
-D4 4D 2A 44 88 4C 96 46 81 5C 92 42 BE 1D C2 1D
-30 4D 00 00 81 5B 82 43 B6 1D 30 4D D8 4D 01 5D
-B2 43 B6 1D 30 4D E4 4D 83 5B 27 5D 87 12 C6 4D
-34 44 34 44 EE 4B EE 4B 2A 44 BE 4F 02 00 3E 4F
-30 4D 14 49 82 49 53 00 87 12 86 46 F2 44 E0 45
-2C 4E FC 4D 34 44 0A 4E EE 4B 2A 44 C6 4D 0A 4E
-2A 44 14 4E 09 49 4D 4D 45 44 49 41 54 45 1A 42
-AE 1D FA D0 80 00 00 00 30 4D C4 49 87 52 45 43
-55 52 53 45 19 42 C4 1D 99 42 B2 1D 00 00 A2 53
-C4 1D 30 4D CE 4C 88 50 4F 53 54 50 4F 4E 45 00
-87 12 90 46 0C 4A 6A 4A 54 44 E0 45 D4 4D 92 45
-E0 45 90 4E 34 44 34 44 EE 4B EE 4B 34 44 EE 4B
-EE 4B 2A 44 82 9F B4 1D A0 24 87 12 8C 49 0F 73
-74 61 63 6B 20 6D 69 73 6D 61 74 63 68 21 54 4D
-87 12 E8 49 90 46 0C 4A BA 4E 08 4E 7A 4E 5A D3
-5A 53 0A 58 19 42 C8 1D 6E 4E 3E F0 1E 00 09 5E
-82 48 AE 1D 82 49 B0 1D 82 4A B2 1D 2A 52 82 4A
-C4 1D 3E 4F 3D 41 30 41 06 4A 08 56 41 52 49 41
-42 4C 45 00 B0 12 B0 4E BA 40 86 12 FC FF 71 3C
-30 4C 08 43 4F 4E 53 54 41 4E 54 00 B0 12 B0 4E
-BA 40 85 12 FC FF 8A 4E FE FF 3E 4F 62 3C 02 4F
-06 43 52 45 41 54 45 00 B0 12 B0 4E BA 40 85 12
-FC FF 8A 4A FE FF 55 3C 9E 4C 05 44 4F 45 53 3E
-1A 42 B2 1D BA 40 84 12 00 00 8A 4D 02 00 3D 41
-30 4D 3A 4F 05 44 45 46 45 52 B0 12 B0 4E BA 40
-30 40 FC FF BA 40 50 4F FE FF 3B 3C F8 4D 01 3A
-B0 12 B0 4E BA 40 87 12 FC FF A2 83 C4 1D B2 43
-B6 1D 82 4F B4 1D 30 4D 6E 4F 81 3B 82 93 B6 1D
-24 27 87 12 34 44 2A 44 EE 4B 94 4E E6 4D 2A 44
-A2 4F 3D 41 B2 4E 0E 18 A2 4E 0C 18 3E 4F 32 3D
-00 4C 06 4D 41 52 4B 45 52 00 B0 12 B0 4E BA 40
-84 12 FC FF BA 40 A0 4F FE FF 9A 42 C6 1D 00 00
-28 83 8A 48 02 00 A2 52 C4 1D 18 42 AE 1D 19 42
-B0 1D A8 49 FE FF 89 48 00 00 30 4D 34 4E 82 49
-46 00 2F 83 8F 4E 00 00 1E 42 C4 1D A2 52 C4 1D
-BE 40 E0 45 00 00 2E 53 30 4D 54 4F 84 45 4C 53
-45 00 A2 52 C4 1D 1A 42 C4 1D BA 40 DC 45 FC FF
-8E 4A 00 00 2A 83 0E 4A 30 4D 6A 49 84 54 48 45
-4E 00 9E 42 C4 1D 00 00 3E 4F 30 4D 20 4F 85 42
-45 47 49 4E 30 40 98 47 2C 50 85 55 4E 54 49 4C
-39 40 E0 45 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF
-8A 4E FE FF 3E 4F 30 4D AE 4D 85 41 47 41 49 4E
-39 40 DC 45 EF 3F EA 4E 85 57 48 49 4C 45 87 12
-F2 4F 78 44 2A 44 4C 4E 86 52 45 50 45 41 54 00
-87 12 70 50 32 50 2A 44 0C 50 82 44 4F 00 2F 83
-8F 4E 00 00 A2 53 C4 1D 1E 42 C4 1D BE 40 F0 45
-FE FF A2 53 00 1C 1A 42 00 1C 8A 43 00 00 30 4D
-B2 4F 84 4C 4F 4F 50 00 39 40 12 46 A2 52 C4 1D
-1A 42 C4 1D 8A 49 FC FF 8A 4E FE FF 1E 42 00 1C
-A2 83 00 1C 2E 4E 0E 93 03 24 8E 4A 00 00 F6 3F
-3E 4F 30 4D F4 47 85 2B 4C 4F 4F 50 39 40 00 46
-E5 3F C2 50 85 4C 45 41 56 45 1A 42 C4 1D BA 40
-22 46 00 00 BA 40 DC 45 02 00 B2 50 06 00 C4 1D
-A2 53 00 1C 2A 52 19 42 00 1C 89 4A 00 00 30 4D
-04 51 04 4D 4F 56 45 00 0A 4E 38 4F 39 4F 3E 4F
-0A 93 11 24 08 99 0F 24 06 2C F8 49 00 00 18 53
-1A 83 FB 23 30 4D 08 5A 09 5A 19 83 18 83 E8 49
-00 00 1A 83 FA 23 30 4D 34 44 CA 1D FA 44 2A 44
-84 12 68 51 00 56 D6 55 6E 5E C4 4D BE 55 F6 50
-38 5E 70 5D 24 52 3E 52 46 5E 8E 5D 46 45 04 5D
-EE 4D 84 5D 00 00 3A 40 0E 00 39 40 CA 1D 38 40
-CC 1D D9 3F 3A 40 0E 00 39 40 CC 1D 38 40 CA 1D
-CC 3F 82 43 CC 1D 30 4D 92 42 CA 1D C8 1D 30 4D
-C2 51 3A 4E 82 4A C6 1D 2E 4E 82 4E C4 1D 3D 40
-10 00 09 4A 08 49 29 83 18 48 FE FF 0E 98 FC 2B
-89 48 00 00 1D 83 F6 23 2A 4A 0A 93 F0 23 3E 4F
-3D 41 30 4D 66 4E 09 50 57 52 5F 53 54 41 54 45
-84 12 C0 51 BA 55 EA 5E 88 50 09 52 53 54 5F 53
-54 41 54 45 92 42 0E 18 04 52 92 42 0C 18 06 52
-EF 3F F6 51 08 50 57 52 5F 48 45 52 45 00 92 42
-C6 1D 04 52 92 42 C4 1D 06 52 30 4D 0A 52 08 52
-53 54 5F 48 45 52 45 00 92 42 C6 1D 0E 18 92 42
-C4 1D 0C 18 EC 3F B2 40 F0 53 52 54 B2 40 84 48
-78 48 B2 40 DE 48 EE 48 B2 40 1A 49 2E 49 B2 40
-EC 47 FA 47 82 43 7C 5C 82 43 88 5C 82 43 94 5C
-82 43 C4 5C 82 43 D0 5C 82 43 DC 5C B2 40 0A 00
-DA 1D 30 41 78 50 04 57 49 50 45 00 39 40 80 FF
-B9 43 00 00 29 53 39 90 C6 FF FA 23 B0 12 56 52
-B2 40 EA 5E 0C 18 B2 40 BA 55 0E 18 AB 3F 3E 50
-07 43 4F 4D 50 41 52 45 0C 4E 38 4F 3B 4F 39 4F
-0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83 07 30 19 53
-F9 98 FF FF F5 27 02 2C 3E 43 30 4D 1E 43 30 4D
-8A 4F 86 5B 54 48 45 4E 5D 00 30 4D 1E 83 30 4D
-F2 52 86 5B 45 4C 53 45 5D 00 87 12 34 44 01 00
-90 46 0C 4A 36 4C 44 44 E0 45 78 53 8A 44 8A 44
-8C 49 04 5B 49 46 5D 00 C8 52 EA 45 36 53 CA 4A
-2C 45 DC 45 6C 53 8A 44 8A 44 8C 49 06 5B 45 4C
-53 45 5D 00 C8 52 EA 45 5A 53 CA 4A FC 52 44 44
-E0 45 56 53 2C 45 DC 45 6C 53 8C 49 06 5B 54 48
-45 4E 5D 00 C8 52 EA 45 6C 53 FC 52 54 44 EA 45
-74 53 2A 44 DC 45 10 53 CA 4A 8C 49 03 0D 6B 6F
-70 49 38 49 5A 46 44 44 64 46 06 48 8E 53 82 43
-C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F 3D 40 10 53
-30 4D 02 53 84 5B 49 46 5D 00 0E 93 3E 4F AD 27
-30 4D A4 53 8B 5B 55 4E 44 45 46 49 4E 45 44 5D
-87 12 90 46 0C 4A 6A 4A 6C 44 86 45 2A 44 B4 53
-89 5B 44 45 46 49 4E 45 44 5D 87 12 90 46 0C 4A
-6A 4A 6C 44 2A 44 EE 4F 06 28 57 41 52 4D 29 00
-1E 42 08 18 87 12 8C 49 05 0D 1B 5B 37 6D 70 49
-82 47 8C 49 27 20 46 61 73 74 46 6F 72 74 68 20
-56 31 36 32 20 31 36 4D 48 7A 20 28 43 29 20 4A
-2E 4D 2E 54 68 6F 6F 72 65 6E 73 20 70 49 34 44
-30 FF 98 47 28 45 4E 47 8C 49 0B 62 79 74 65 73
-20 66 72 65 65 20 5A 4D 96 52 04 57 41 52 4D 00
-30 40 F0 53 C0 52 04 43 4F 4C 44 00 B2 40 04 A5
-20 01 B2 40 88 5A 5C 01 92 43 04 02 B2 40 FE FF
-02 02 A2 83 06 02 92 D3 24 02 B2 D3 26 02 B2 43
-22 02 B2 43 42 02 B2 D3 46 02 B2 43 62 02 B2 D3
-66 02 B2 40 80 00 84 02 B2 40 7F FF 82 02 B2 D0
-7F FF 86 02 F2 43 22 03 F2 D3 26 03 F2 40 A5 00
-41 01 F2 40 10 00 40 01 D2 43 41 01 F2 40 A5 00
-61 01 B2 40 48 00 62 01 82 43 66 01 39 40 80 00
-B2 40 33 00 64 01 D2 43 61 01 92 D2 9E 01 08 18
-A2 93 08 18 01 24 59 07 38 40 C2 A2 18 83 FE 23
-19 83 FA 23 B2 42 B0 01 F2 D0 10 00 2A 03 F2 C0
-40 00 A2 04 3A 40 62 54 39 40 C6 FF 89 4A 00 00
-29 53 FC 23 92 42 02 18 E4 FF B2 40 18 00 0A 18
-31 40 E0 1C 3F 40 80 1C 37 40 00 44 36 40 BC 44
-35 40 08 44 34 40 14 44 B2 40 0A 00 DA 1D B2 43
-AC 1D 92 C3 30 01 18 42 08 18 F2 B0 10 00 20 02
-04 20 38 E3 18 53 82 48 08 18 B2 40 81 00 E0 05
-B2 40 11 00 E6 05 B2 40 00 4A E8 05 F2 D0 30 00
-2A 02 92 C3 E0 05 92 D3 FA 05 3D 40 94 55 18 42
-08 18 38 90 0A 00 8A 26 38 90 16 00 87 2E 28 93
-41 22 36 26 50 54 84 12 68 51 E0 5B 8C 5C 94 5B
-E0 5C 5A 5B 14 5C 5E 58 00 00 50 5B 00 5C B2 5B
-F0 5B 6E 59 00 00 00 00 F2 5C 94 51 E8 53 85 48
-49 32 4C 4F 87 12 98 47 06 50 EE 4B E6 4D 96 51
-96 55 2A 44 56 54 04 43 4F 44 45 00 B0 12 B0 4E
-A2 82 C4 1D 87 12 82 4F DC 45 CE 55 00 00 07 45
-4E 44 43 4F 44 45 87 12 A4 51 94 4E 2A 44 6A 50
-03 41 53 4D 92 42 C8 1D B8 1D B2 40 9A 55 C8 1D
-E5 3F EE 55 06 45 4E 44 41 53 4D 00 92 42 B8 1D
-C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42 C4 1D
-BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D 30 40
-A4 51 00 00 05 4C 4F 32 48 49 1A 42 C4 1D BA 40
-B0 12 00 00 BA 40 2A 44 02 00 A2 52 C4 1D ED 3F
-38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D 09 9A
-03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A C2 1D
-30 4D B0 12 2A 44 0C 4A 6A 4A EA 45 96 56 26 4B
-E0 45 D4 4D B8 56 98 56 29 4E 39 90 86 12 02 20
-2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00 30 41
-39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D A2 53
-C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D 92 53
-C2 1D B0 12 2A 44 0C 4A 26 4B E0 45 EA 56 E0 56
-21 53 3E 90 10 00 BB 2D 30 41 EC 56 B2 41 C2 1D
-22 D3 30 41 87 12 90 46 60 56 FC 56 82 43 BC 1D
-92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F FA 90
-23 00 00 00 34 20 92 53 C2 1D B0 12 82 56 0E 93
-04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20 B2 40
-10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03 BC 1D
-1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C 3E 92
-04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20 B2 40
-30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42 C4 1D
-A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D FA 90
-26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53 C2 1D
-30 12 6C 57 76 3F FA 90 40 00 00 00 1A 20 B2 40
-20 00 BC 1D 92 53 C2 1D B0 12 CA 56 0E 20 B2 50
-10 00 BC 1D 3E 40 2B 00 B0 12 CA 56 32 24 92 92
-BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E BC 1D
-D3 3F B0 12 CA 56 F9 23 B2 50 10 00 BC 1D 3E 40
-28 00 B0 12 82 56 30 12 BC 57 67 3F 87 12 90 46
-60 56 F4 57 FE 90 26 00 00 00 3E 40 20 00 04 20
-B2 50 82 00 BC 1D C2 3F B0 12 CA 56 DF 23 B2 50
-80 00 BC 1D 3E 40 28 00 B0 12 82 56 B0 12 BA 56
-D5 23 3D 40 D4 4D 30 4D 70 48 04 52 45 54 49 00
-87 12 34 44 00 13 EE 4B 2A 44 34 44 2C 00 F4 56
-EC 57 44 58 2E 4E 1E D2 BC 1D 19 42 BA 1D 92 3F
-44 56 03 4D 4F 56 84 12 3A 58 00 40 52 58 05 4D
-4F 56 2E 42 84 12 3A 58 40 40 00 00 03 41 44 44
-84 12 3A 58 00 50 6C 58 05 41 44 44 2E 42 84 12
-3A 58 40 50 78 58 04 41 44 44 43 00 84 12 3A 58
-00 60 86 58 06 41 44 44 43 2E 42 00 84 12 3A 58
-40 60 2A 58 04 53 55 42 43 00 84 12 3A 58 00 70
-A4 58 06 53 55 42 43 2E 42 00 84 12 3A 58 40 70
-B2 58 03 53 55 42 84 12 3A 58 00 80 C2 58 05 53
-55 42 2E 42 84 12 3A 58 40 80 26 56 03 43 4D 50
-84 12 3A 58 00 90 DC 58 05 43 4D 50 2E 42 84 12
-3A 58 40 90 14 56 04 44 41 44 44 00 84 12 3A 58
-00 A0 F6 58 06 44 41 44 44 2E 42 00 84 12 3A 58
-40 A0 E8 58 03 42 49 54 84 12 3A 58 00 B0 14 59
-05 42 49 54 2E 42 84 12 3A 58 40 B0 20 59 03 42
-49 43 84 12 3A 58 00 C0 2E 59 05 42 49 43 2E 42
-84 12 3A 58 40 C0 3A 59 03 42 49 53 84 12 3A 58
-00 D0 48 59 05 42 49 53 2E 42 84 12 3A 58 40 D0
-00 00 03 58 4F 52 84 12 3A 58 00 E0 62 59 05 58
-4F 52 2E 42 84 12 3A 58 40 E0 94 58 03 41 4E 44
-84 12 3A 58 00 F0 7C 59 05 41 4E 44 2E 42 84 12
-3A 58 40 F0 90 46 F4 56 9A 59 1A 42 BC 1D B2 F0
-70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D 4A 3F
-CE 58 03 52 52 43 84 12 94 59 00 10 B2 59 05 52
-52 43 2E 42 84 12 94 59 40 10 BE 59 04 53 57 50
-42 00 84 12 94 59 80 10 CC 59 03 52 52 41 84 12
-94 59 00 11 DA 59 05 52 52 41 2E 42 84 12 94 59
-40 11 E6 59 03 53 58 54 84 12 94 59 80 11 00 00
-04 50 55 53 48 00 84 12 94 59 00 12 00 5A 06 50
-55 53 48 2E 42 00 84 12 94 59 40 12 54 59 04 43
-41 4C 4C 00 84 12 94 59 80 12 34 44 2C 00 F4 56
-EC 57 34 5A 59 42 BC 1D 5A 42 BD 1D 82 4A BC 1D
-BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A 0A 49
-3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A 87 12
-82 47 8C 49 0D 6F 75 74 20 6F 66 20 62 6F 75 6E
-64 73 54 4D 0E 5A 05 50 55 53 48 4D 84 12 2A 5A
-00 15 76 5A 04 50 4F 50 4D 00 84 12 2A 5A 00 17
-90 46 60 56 96 5A 82 43 BC 1D 92 42 C4 1D BA 1D
-A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12 2A 44
-0C 4A 26 4B E0 45 D4 4D EC 57 BC 5A 0A 4E 3E 4F
-1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F F4 59 04 52
-52 43 4D 00 84 12 90 5A 50 00 CE 5A 04 52 52 41
-4D 00 84 12 90 5A 50 01 DC 5A 04 52 4C 41 4D 00
-84 12 90 5A 50 02 EA 5A 04 52 52 55 4D 00 84 12
-90 5A 50 03 85 12 00 3C F8 5A 03 53 3E 3D 85 12
-00 38 0A 5B 02 53 3C 00 85 12 00 34 84 5A 03 30
-3E 3D 85 12 00 30 1E 5B 02 30 3C 00 85 12 00 30
-00 00 02 55 3C 00 85 12 00 2C 32 5B 03 55 3E 3D
-85 12 00 28 28 5B 03 30 3C 3E 85 12 00 24 46 5B
-02 30 3D 00 85 12 00 20 7C 48 02 49 46 00 1A 42
-C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D 3C 5B
-04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F 09 48
-29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA 00 00
-30 4D 04 59 04 45 4C 53 45 00 1A 42 C4 1D BA 40
-00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00 E3 3F
-70 5B 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F 19 42
-C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B 3A F0
-FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D 88 59
-05 41 47 41 49 4E 87 12 04 5B B8 5B 2A 44 00 00
-05 57 48 49 4C 45 87 12 5E 5B 78 44 2A 44 14 5B
-06 52 45 50 45 41 54 00 87 12 04 5B B8 5B 76 5B
-2A 44 00 00 03 4A 4D 50 87 12 C6 4D 04 5B B8 5B
-2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D 3E 90
-00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40 00 38
-30 4D 00 00 04 3F 4A 4D 50 00 87 12 22 5C C6 4D
-78 44 B8 5B 2A 44 58 5C 3D 41 08 4E 3E 4F 2A 48
-0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43 00 00
-A4 3F 1E 5A 03 42 57 31 84 12 56 5C 00 00 74 5C
-03 42 57 32 84 12 56 5C 00 00 80 5C 03 42 57 33
-84 12 56 5C 00 00 98 5C 3D 41 1A 42 C4 1D 28 4E
-08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A 00 00
-3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46 57 31
-84 12 96 5C 00 00 BC 5C 03 46 57 32 84 12 96 5C
-00 00 C8 5C 03 46 57 33 84 12 96 5C 00 00 D4 5C
-04 47 4F 54 4F 00 87 12 04 5B C6 4D E4 4B 2A 44
-44 5C 05 3F 47 4F 54 4F 87 12 22 5C C6 4D E4 4B
-2A 44 D0 53 09 7B 55 54 49 4C 49 54 59 7D 30 4D
-F4 49 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83 8F 4E
-FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80 06 00
-2E 8F 0E 11 87 12 34 44 3C 00 EC 48 82 47 34 44
-08 00 EC 48 34 44 3E 00 EC 48 38 49 8A 44 8A 44
-C4 45 EA 45 5C 5D 62 44 62 44 2A 44 F0 45 28 46
-F2 44 4E 47 34 44 02 00 00 46 5E 5D 2A 44 12 5D
-03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40 E0 1C
-D3 3F D2 4A 01 3F 2E 4E 30 40 4E 47 4A 54 05 57
-4F 52 44 53 87 12 2C 49 34 44 03 00 4E 49 34 44
-CA 1D F2 44 50 46 34 44 10 00 44 44 20 45 38 51
-34 44 00 00 44 44 34 44 10 00 44 44 20 45 34 44
-00 00 F0 45 44 44 28 46 50 46 20 45 F2 44 D2 45
-E0 45 E2 5D 62 44 62 44 28 46 44 44 50 46 20 45
-F2 44 34 44 02 00 00 46 C4 5D 54 44 E0 45 24 5E
-44 44 34 44 02 00 28 45 F2 44 9A 44 50 46 20 45
-FA 44 44 44 36 4C 34 44 7F 00 36 45 70 49 08 45
-34 44 0F 00 36 45 34 44 10 00 78 44 28 45 4E 49
-DC 45 B0 5D 62 44 2A 44 32 51 03 4D 41 58 2E 9F
-07 38 2F 53 30 4D 2A 5E 03 4D 49 4E 2E 9F F9 3B
-3E 4F 30 4D 4A 50 03 55 2E 52 87 12 B0 44 9A 46
-34 44 00 00 A6 46 FE 46 18 47 BC 44 8A 44 28 45
-34 44 00 00 2E 5E 4E 49 70 49 2A 44 9A 50 04 44
-55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00 DA 1D
-2E 5F BF F0 F0 FF 00 00 B0 12 2A 44 78 44 F0 45
-2C 49 28 46 34 44 07 00 4A 5E 38 49 28 46 34 44
+30 4D 00 00 06 4D 55 2F 4D 4F 44 00 0B 4E 2E 4F
+1C 4F 02 00 0E 93 0A 43 34 40 20 00 04 20 04 11
+0E 4C 0C 43 09 43 0A 9B 01 28 0A 8B 09 69 08 68
+14 83 07 30 0C 5C 0E 6E 0A 6A F5 2B 0A 8B 12 D3
+F5 3F 34 40 14 44 8F 4A 02 00 8F 49 00 00 0E 48
+30 41 8C 46 01 23 1B 42 DA 1D 2C 4F 2F 83 B0 12
+B4 46 BF 4F 00 00 7A 90 0A 00 02 28 3A 50 07 00
+3A 50 30 00 92 83 AA 1D 18 42 AA 1D C8 4A 00 00
+30 4D F4 46 02 23 53 00 87 12 F6 46 2E 47 2D 83
+09 93 E3 23 0E 93 E1 23 3D 41 30 4D 24 47 02 23
+3E 00 9F 42 AA 1D 00 00 3E 40 AA 1D 2E 8F 30 4D
+26 46 04 48 4F 4C 44 00 0A 4E 3E 4F DB 3F 80 46
+04 53 49 47 4E 00 0E 93 3E 4F 3A 40 2D 00 D2 33
+30 4D 56 46 02 55 2E 00 87 12 9A 46 34 44 00 00
+28 47 42 47 A2 49 6A 49 2A 44 68 45 02 44 2E 00
+87 12 9A 46 78 44 8A 44 6E 45 28 47 9A 44 66 47
+42 47 A2 49 6A 49 2A 44 50 45 01 2E 0E 93 E4 37
+2F 83 8F 4E 00 00 3E 43 EB 3F 52 47 04 48 45 52
+45 00 2F 83 8F 4E 00 00 1E 42 C4 1D 30 4D 5C 45
+05 41 4C 4C 4F 54 82 5E C4 1D 3E 4F 30 4D 3E 47
+02 43 2C 00 1A 42 C4 1D CA 4E 00 00 92 53 C4 1D
+3E 4F 30 4D 2F 83 8F 4E 00 00 B0 12 64 48 92 B3
+FC 05 FD 27 1E 42 EC 05 B0 12 76 48 30 4D BC 47
+05 28 4B 45 59 29 18 42 EC 05 EC 3F 38 46 03 4B
+45 59 30 40 16 48 D0 47 06 41 43 43 45 50 54 00
+3C 40 FA 48 3B 40 AC 48 2D 15 0A 4E 2E 4F 0A 5E
+3B 40 0D 00 3C 40 20 00 3D 40 EE 48 92 B3 FC 05
+05 24 18 42 EC 05 38 90 0A 00 04 20 21 53 39 40
+90 48 4D 15 B2 40 11 00 EE 05 D2 C3 22 02 A2 B3
+FC 05 FD 27 30 41 B2 40 13 00 EE 05 D2 D3 22 02
+A2 B3 FC 05 FD 27 30 41 00 00 05 53 4C 45 45 50
+30 40 9E 48 00 00 07 28 53 4C 45 45 50 29 12 D2
+0A 18 F6 3F 21 52 3A 17 58 42 EC 05 48 9B E3 27
+48 9C 12 2C 78 92 1D 20 2E 9F 1B 24 1E 83 3D 40
+C4 48 0F 3C C6 48 38 40 20 00 3D 52 0A 3C D0 48
+78 42 3D 40 EE 48 05 3C 0E 9A 03 24 CE 48 00 00
+1E 53 82 48 EE 05 A2 B3 FC 05 FD 27 30 4D F0 48
+2D 83 92 B3 FC 05 FD 27 D7 23 B2 40 18 00 0A 18
+3E 8F 3D 41 30 4D 10 48 06 28 45 4D 49 54 29 00
+08 4E 3E 4F E6 3F 8C 47 04 45 4D 49 54 00 30 40
+10 49 18 49 04 45 43 48 4F 00 B2 40 82 48 E2 48
+30 4D AA 47 06 4E 4F 45 43 48 4F 00 B2 40 30 4D
+E2 48 30 4D 08 49 04 28 43 52 29 00 2F 83 8F 4E
+00 00 3E 40 0D 00 E3 3F E0 47 02 43 52 00 30 40
+4C 49 60 47 05 53 50 41 43 45 2F 83 8F 4E 00 00
+3E 40 20 00 D4 3F 64 49 06 53 50 41 43 45 53 00
+0E 93 09 24 0D 12 3D 40 8C 49 EF 3F 8E 49 2D 83
+1E 83 EB 23 3D 41 3E 4F 30 4D 74 47 04 54 59 50
+45 00 0E 93 AB 24 2A 4F 8F 5E 00 00 0E 4A 87 12
+F0 45 28 46 08 45 1E 49 12 46 B2 49 2A 44 2F 82
+8F 4E 02 00 7E 4D 8F 4D 00 00 0D 5E 1D B3 0D 63
+30 4D 5A 49 07 43 41 50 53 5F 4F 4E B2 43 AC 1D
+30 4D D4 49 08 43 41 50 53 5F 4F 46 46 00 82 43
+AC 1D 30 4D 78 49 82 53 22 00 87 12 34 44 BE 49
+64 4C EE 49 34 44 22 00 3E 4A DC 49 0E 4A 3D 41
+6E 4E 1E 83 82 5E C4 1D 3E 4F 92 B3 C4 1D A2 63
+C4 1D 30 4D 34 49 82 2E 22 00 87 12 FA 49 34 44
+A2 49 64 4C 2A 44 00 00 04 57 4F 52 44 00 3C 40
+BE 1D 39 4C 3A 4C 09 5A 3A 5C 28 4C 09 9A 1C 24
+7E 9A FC 27 1A 83 3B 40 60 00 C8 4C 00 00 09 9A
+0F 24 7C 4A 4E 9C 0C 24 18 53 4B 9C F6 2F 82 93
+AC 1D F3 27 7C 90 7B 00 F0 2F 7C 80 20 00 ED 3F
+1A 82 C0 1D 82 4A C2 1D 1E 42 C4 1D 08 8E CE 48
+00 00 30 4D 00 00 04 46 49 4E 44 00 2F 83 0C 4E
+65 4C 74 40 80 00 3B 40 CA 1D 3E 4B 0E 93 1E 24
+58 4C 01 00 78 F0 1E 00 0E 58 2E 53 1E 4E FE FF
+0E 93 F3 27 09 4E 78 49 48 C4 48 95 F7 23 0A 4C
+1A 53 FA 99 00 00 F2 23 58 83 FA 23 19 B3 09 63
+0C 49 6A 4E 1E 43 4A 93 01 30 2E 83 8F 4C 00 00
+35 40 08 44 34 40 14 44 30 4D 2F 53 2F 53 3E 4F
+30 4D 6A 46 07 3E 4E 55 4D 42 45 52 3C 4F 38 4F
+29 4F 2F 82 1B 42 DA 1D 6A 4C 7A 80 30 00 7A 90
+0A 00 05 28 7A 80 07 00 7A 90 0A 00 13 28 0A 9B
+11 2C 82 49 D0 04 82 48 D2 04 82 4B C8 04 19 42
+E4 04 18 42 E6 04 09 5A 08 63 1C 53 1E 83 E4 23
+8F 48 02 00 8F 4C 00 00 8F 49 04 00 30 4D 0C 43
+1B 42 DA 1D 32 C0 00 02 2D 15 09 43 08 43 3D 40
+AE 4B 3F 82 8F 4E 06 00 0C 4E 7E 4C 6A 4C 7A 80
+2C 00 10 2C 5A 83 2B 43 7A 52 07 24 3B 52 6A 53
+04 24 3B 40 10 00 5A 83 BF 23 1C 53 1E 83 6A 4C
+7A 80 2C 00 5A 93 B8 23 B1 43 02 00 CE 3F B0 4B
+0E 93 2F 24 32 D0 00 02 FC 90 2E 00 00 00 02 20
+2D 83 C3 3F FC 90 2C 00 00 00 23 20 0A 4E 09 43
+8F 49 02 00 5A 83 09 4A 09 5C 69 49 39 80 30 00
+79 90 0A 00 05 28 79 80 07 00 79 90 0A 00 0A 28
+09 9B 08 2C 8F 49 00 00 0E 4B 2C 15 B0 12 AC 46
+2A 17 E6 3F 9F 4F 04 00 02 00 AF 4F 04 00 0E 4A
+4E 93 2B 17 0E 4C 82 4B DA 1D 04 24 3F 50 06 00
+0E F3 30 4D 2F 53 9F 4F 02 00 04 00 BF 4F 00 00
+3E E3 09 20 3E E3 BF E3 02 00 BF E3 00 00 9F 53
+02 00 8F 63 00 00 32 B0 00 02 01 20 2F 53 30 4D
+24 49 07 45 58 45 43 55 54 45 0A 4E 3E 4F 00 4A
+26 45 01 2C 1A 42 C4 1D A2 53 C4 1D 8A 4E 00 00
+3E 4F 30 4D 62 4C 87 4C 49 54 45 52 41 4C 82 93
+B6 1D 0F 24 1A 42 C4 1D A2 52 C4 1D BA 40 34 44
+00 00 8A 4E 02 00 3E 4F 32 B0 00 02 32 C0 00 02
+F1 23 30 4D E4 49 05 43 4F 55 4E 54 2F 83 1E 53
+8F 4E 00 00 5E 4E FF FF 30 4D 82 4E BE 1D B2 4F
+C0 1D 3E 4F 82 43 C2 1D 87 12 90 46 3E 4A D0 4C
+3D 40 DC 4C E3 22 3D 41 3E 4F 30 4D DE 4C 0A 4E
+3E 4F 3D 40 F4 4C 3B 27 3D 40 CA 4C 1A E2 B6 1D
+B9 27 B3 23 F6 4C 3E 4F 3D 40 CA 4C C0 23 DE 53
+00 00 68 4E 08 5E F8 40 3F 00 00 00 3D 40 24 4F
+CD 3F 52 4C 08 45 56 41 4C 55 41 54 45 00 39 40
+BE 1D 3C 49 3B 49 3A 49 3D 15 B0 12 2A 44 BA 4C
+32 4D B2 41 C2 1D B2 41 C0 1D B2 41 BE 1D 3D 41
+30 4D 4C 46 04 51 55 49 54 00 31 40 E0 1C B2 40
+00 1C 00 1C 82 43 B6 1D 82 43 08 18 B0 12 2A 44
+BE 49 03 0D 6F 6B A2 49 6A 49 5A 46 44 44 64 46
+30 48 6A 49 BA 4C DE 44 92 45 BE 49 0D 73 74 61
+63 6B 20 65 6D 70 74 79 21 20 C4 4D 34 44 30 FF
+C2 47 D2 45 BE 49 0B 46 52 41 4D 20 66 75 6C 6C
+21 20 C4 4D 86 46 F2 44 E0 45 60 4D BE 49 03 0D
+20 20 DC 45 66 4D 28 48 05 41 42 4F 52 54 3F 40
+80 1C C3 3F 8F 93 02 00 98 26 B2 40 82 48 E2 48
+B0 12 46 53 A2 B3 FC 05 FD 27 B2 40 11 00 EE 05
+D2 C3 22 02 92 C3 FC 05 38 40 A0 AA 39 42 03 43
+19 83 FD 23 18 83 FA 23 92 B3 FC 05 F3 23 87 12
+BE 49 04 1B 5B 37 6D 00 A2 49 A2 49 BE 49 04 1B
+5B 30 6D 00 A2 49 24 52 82 52 96 52 E4 52 DC 49
+BE 4D B8 4D 86 41 42 4F 52 54 22 00 87 12 FA 49
+34 44 C4 4D 64 4C 2A 44 96 4A 01 27 87 12 90 46
+3E 4A 9C 4A E0 45 4A 4E 2A 44 FE 4C 96 46 81 5C
+92 42 BE 1D C2 1D 30 4D 00 00 81 5B 82 43 B6 1D
+30 4D 4E 4E 01 5D B2 43 B6 1D 30 4D 5A 4E 83 5B
+27 5D 87 12 3C 4E 34 44 34 44 64 4C 64 4C 2A 44
+BE 4F 02 00 3E 4F 30 4D 46 49 82 49 53 00 87 12
+86 46 F2 44 E0 45 A2 4E 72 4E 34 44 80 4E 64 4C
+2A 44 3C 4E 80 4E 2A 44 8A 4E 09 49 4D 4D 45 44
+49 41 54 45 1A 42 AE 1D FA D0 80 00 00 00 30 4D
+F6 49 87 52 45 43 55 52 53 45 19 42 C4 1D 99 42
+B2 1D 00 00 A2 53 C4 1D 30 4D 44 4D 88 50 4F 53
+54 50 4F 4E 45 00 87 12 90 46 3E 4A 9C 4A 54 44
+E0 45 4A 4E 92 45 E0 45 06 4F 34 44 34 44 64 4C
+64 4C 34 44 64 4C 64 4C 2A 44 82 9F B4 1D A0 24
+87 12 BE 49 0F 73 74 61 63 6B 20 6D 69 73 6D 61
+74 63 68 21 CA 4D 87 12 1A 4A 90 46 3E 4A 30 4F
+08 4E 7A 4E 5A D3 5A 53 0A 58 19 42 C8 1D 6E 4E
+3E F0 1E 00 09 5E 82 48 AE 1D 82 49 B0 1D 82 4A
+B2 1D 2A 52 82 4A C4 1D 3E 4F 3D 41 30 41 38 4A
+08 56 41 52 49 41 42 4C 45 00 B0 12 26 4F BA 40
+86 12 FC FF 71 3C A6 4C 08 43 4F 4E 53 54 41 4E
+54 00 B0 12 26 4F BA 40 85 12 FC FF 8A 4E FE FF
+3E 4F 62 3C 78 4F 06 43 52 45 41 54 45 00 B0 12
+26 4F BA 40 85 12 FC FF 8A 4A FE FF 55 3C 14 4D
+05 44 4F 45 53 3E 1A 42 B2 1D BA 40 84 12 00 00
+8A 4D 02 00 3D 41 30 4D B0 4F 05 44 45 46 45 52
+B0 12 26 4F BA 40 30 40 FC FF BA 40 C6 4F FE FF
+3B 3C 6E 4E 01 3A B0 12 26 4F BA 40 87 12 FC FF
+A2 83 C4 1D B2 43 B6 1D 82 4F B4 1D 30 4D E4 4F
+81 3B 82 93 B6 1D 24 27 87 12 34 44 2A 44 64 4C
+0A 4F 5C 4E 2A 44 18 50 3D 41 B2 4E 0E 18 A2 4E
+0C 18 3E 4F 69 3D 76 4C 06 4D 41 52 4B 45 52 00
+B0 12 26 4F BA 40 84 12 FC FF BA 40 16 50 FE FF
+9A 42 C6 1D 00 00 28 83 8A 48 02 00 A2 52 C4 1D
+18 42 AE 1D 19 42 B0 1D A8 49 FE FF 89 48 00 00
+30 4D AA 4E 82 49 46 00 2F 83 8F 4E 00 00 1E 42
+C4 1D A2 52 C4 1D BE 40 E0 45 00 00 2E 53 30 4D
+CA 4F 84 45 4C 53 45 00 A2 52 C4 1D 1A 42 C4 1D
+BA 40 DC 45 FC FF 8E 4A 00 00 2A 83 0E 4A 30 4D
+9C 49 84 54 48 45 4E 00 9E 42 C4 1D 00 00 3E 4F
+30 4D 96 4F 85 42 45 47 49 4E 30 40 C2 47 A2 50
+85 55 4E 54 49 4C 39 40 E0 45 A2 52 C4 1D 1A 42
+C4 1D 8A 49 FC FF 8A 4E FE FF 3E 4F 30 4D 24 4E
+85 41 47 41 49 4E 39 40 DC 45 EF 3F 60 4F 85 57
+48 49 4C 45 87 12 68 50 78 44 2A 44 C2 4E 86 52
+45 50 45 41 54 00 87 12 E6 50 A8 50 2A 44 82 50
+82 44 4F 00 2F 83 8F 4E 00 00 A2 53 C4 1D 1E 42
+C4 1D BE 40 F0 45 FE FF A2 53 00 1C 1A 42 00 1C
+8A 43 00 00 30 4D 28 50 84 4C 4F 4F 50 00 39 40
+12 46 A2 52 C4 1D 1A 42 C4 1D 8A 49 FC FF 8A 4E
+FE FF 1E 42 00 1C A2 83 00 1C 2E 4E 0E 93 03 24
+8E 4A 00 00 F6 3F 3E 4F 30 4D 1E 48 85 2B 4C 4F
+4F 50 39 40 00 46 E5 3F 38 51 85 4C 45 41 56 45
+1A 42 C4 1D BA 40 22 46 00 00 BA 40 DC 45 02 00
+B2 50 06 00 C4 1D A2 53 00 1C 2A 52 19 42 00 1C
+89 4A 00 00 30 4D 7A 51 04 4D 4F 56 45 00 0A 4E
+38 4F 39 4F 3E 4F 0A 93 11 24 08 99 0F 24 06 2C
+F8 49 00 00 18 53 1A 83 FB 23 30 4D 08 5A 09 5A
+19 83 18 83 E8 49 00 00 1A 83 FA 23 30 4D EE 50
+0A 56 4F 43 41 42 55 4C 41 52 59 00 87 12 9E 4F
+34 44 10 00 34 44 00 00 F0 45 34 44 00 00 64 4C
+12 46 FA 51 C2 47 34 44 C6 1D 44 44 F2 44 64 4C
+FA 44 B6 4F 34 44 CA 1D FA 44 2A 44 3A 4E 05 46
+4F 52 54 48 84 12 14 52 F2 56 C8 56 78 61 42 61
+FC 5F 6C 51 2A 5F 62 5E 08 53 86 61 38 5F 80 5E
+46 45 EC 5F 64 4E 76 5E 00 00 E0 50 04 41 4C 53
+4F 00 3A 40 0E 00 39 40 CA 1D 38 40 CC 1D B6 3F
+DC 4E 08 50 52 45 56 49 4F 55 53 00 3A 40 0E 00
+39 40 CC 1D 38 40 CA 1D A3 3F 26 4A 04 4F 4E 4C
+59 00 82 43 CC 1D 30 4D 10 51 0B 44 45 46 49 4E
+49 54 49 4F 4E 53 92 42 CA 1D C8 1D 30 4D 24 52
+82 52 96 52 A6 52 3A 4E 82 4A C6 1D 2E 4E 82 4E
+C4 1D 3D 40 10 00 09 4A 08 49 29 83 18 48 FE FF
+0E 98 FC 2B 89 48 00 00 1D 83 F6 23 2A 4A 0A 93
+F0 23 3E 4F 3D 41 30 4D 62 52 09 50 57 52 5F 53
+54 41 54 45 84 12 9E 52 AC 56 AA 61 FE 50 09 52
+53 54 5F 53 54 41 54 45 92 42 0E 18 E8 52 92 42
+0C 18 EA 52 EF 3F DA 52 08 50 57 52 5F 48 45 52
+45 00 92 42 C6 1D E8 52 92 42 C4 1D EA 52 30 4D
+EE 52 08 52 53 54 5F 48 45 52 45 00 92 42 C6 1D
+0E 18 92 42 C4 1D 0C 18 EC 3F B2 40 D4 54 38 55
+B2 40 9E 48 92 48 B2 40 10 49 20 49 B2 40 4C 49
+60 49 B2 40 16 48 24 48 82 43 6E 5D 82 43 7A 5D
+82 43 86 5D 82 43 B6 5D 82 43 C2 5D 82 43 CE 5D
+B2 40 0A 00 DA 1D 30 41 E0 51 04 57 49 50 45 00
+39 40 80 FF B9 43 00 00 29 53 39 90 C6 FF FA 23
+B0 12 3A 53 B2 40 AA 61 0C 18 B2 40 AC 56 0E 18
+AB 3F B4 50 07 43 4F 4D 50 41 52 45 0C 4E 38 4F
+3B 4F 39 4F 0E 4B 0E 5C 0C 24 1B 83 07 30 1C 83
+07 30 19 53 F9 98 FF FF F5 27 02 2C 3E 43 30 4D
+1E 43 30 4D 00 50 86 5B 54 48 45 4E 5D 00 30 4D
+1E 83 30 4D D6 53 86 5B 45 4C 53 45 5D 00 87 12
+34 44 01 00 90 46 3E 4A AC 4C 44 44 E0 45 5C 54
+8A 44 8A 44 BE 49 04 5B 49 46 5D 00 AC 53 EA 45
+1A 54 FC 4A 2C 45 DC 45 50 54 8A 44 8A 44 BE 49
+06 5B 45 4C 53 45 5D 00 AC 53 EA 45 3E 54 FC 4A
+E0 53 44 44 E0 45 3A 54 2C 45 DC 45 50 54 BE 49
+06 5B 54 48 45 4E 5D 00 AC 53 EA 45 50 54 E0 53
+54 44 EA 45 58 54 2A 44 DC 45 F4 53 FC 4A BE 49
+03 0D 6B 6F A2 49 6A 49 5A 46 44 44 64 46 30 48
+72 54 82 43 C2 1D 82 4E BE 1D B2 4F C0 1D 3E 4F
+3D 40 F4 53 30 4D E6 53 84 5B 49 46 5D 00 0E 93
+3E 4F AD 27 30 4D 88 54 8B 5B 55 4E 44 45 46 49
+4E 45 44 5D 87 12 90 46 3E 4A 9C 4A 6C 44 86 45
+2A 44 98 54 89 5B 44 45 46 49 4E 45 44 5D 87 12
+90 46 3E 4A 9C 4A 6C 44 2A 44 64 50 06 28 57 41
+52 4D 29 00 1E 42 08 18 87 12 BE 49 06 0D 1B 5B
+37 6D 23 00 A2 49 AC 47 BE 49 27 20 46 61 73 74
+46 6F 72 74 68 20 56 32 2E 30 20 31 36 4D 48 7A
+20 28 43 29 20 4A 2E 4D 2E 54 68 6F 6F 72 65 6E
+73 20 A2 49 34 44 30 FF C2 47 28 45 78 47 BE 49
+0B 62 79 74 65 73 20 66 72 65 65 20 D0 4D 7A 53
+04 57 41 52 4D 00 30 40 D4 54 A4 53 04 43 4F 4C
+44 00 B2 40 04 A5 20 01 B2 40 88 5A 5C 01 92 43
+04 02 B2 40 FE FF 02 02 A2 83 06 02 92 D3 24 02
+B2 D3 26 02 B2 43 22 02 B2 43 42 02 B2 D3 46 02
+B2 43 62 02 B2 D3 66 02 B2 40 80 00 84 02 B2 40
+7F FF 82 02 B2 D0 7F FF 86 02 F2 43 22 03 F2 D3
+26 03 F2 40 A5 00 41 01 F2 40 10 00 40 01 D2 43
+41 01 F2 40 A5 00 61 01 B2 40 48 00 62 01 82 43
+66 01 39 40 80 00 B2 40 33 00 64 01 D2 43 61 01
+92 D2 9E 01 08 18 A2 93 08 18 01 24 59 07 38 40
+C2 A2 18 83 FE 23 19 83 FA 23 B2 42 B0 01 F2 D0
+10 00 2A 03 F2 C0 40 00 A2 04 3A 40 48 55 39 40
+C6 FF 89 4A 00 00 29 53 FC 23 92 42 02 18 E4 FF
+B2 40 18 00 0A 18 31 40 E0 1C 3F 40 80 1C 37 40
+00 44 36 40 BC 44 35 40 08 44 34 40 14 44 B2 40
+0A 00 DA 1D B2 43 AC 1D 92 C3 30 01 18 42 08 18
+F2 B0 10 00 20 02 04 20 38 E3 18 53 82 48 08 18
+B2 40 81 00 E0 05 B2 40 11 00 E6 05 B2 40 00 4A
+E8 05 F2 D0 30 00 2A 02 92 C3 E0 05 92 D3 FA 05
+3D 40 7A 56 18 42 08 18 38 90 0A 00 89 26 38 90
+16 00 86 2E 28 93 40 22 35 26 36 55 4C 52 09 41
+53 53 45 4D 42 4C 45 52 84 12 14 52 D2 5C 7E 5D
+86 5C D2 5D 4C 5C 06 5D 50 59 00 00 42 5C F2 5C
+A4 5C E2 5C 60 5A 00 00 00 00 E4 5D 48 52 CC 54
+85 48 49 32 4C 4F 87 12 C2 47 7C 50 64 4C 5C 4E
+52 52 88 56 2A 44 3C 55 04 43 4F 44 45 00 B0 12
+26 4F A2 82 C4 1D 87 12 F8 4F DC 45 C0 56 00 00
+07 45 4E 44 43 4F 44 45 87 12 6C 52 0A 4F 2A 44
+7E 56 03 41 53 4D 92 42 C8 1D B8 1D B2 40 8C 56
+C8 1D E5 3F E0 56 06 45 4E 44 41 53 4D 00 92 42
+B8 1D C8 1D E9 3F 00 00 05 43 4F 4C 4F 4E 1A 42
+C4 1D BA 40 87 12 00 00 A2 53 C4 1D B2 43 B6 1D
+30 40 6C 52 A4 46 05 4C 4F 32 48 49 1A 42 C4 1D
+BA 40 B0 12 00 00 BA 40 2A 44 02 00 A2 52 C4 1D
+ED 3F 38 40 BE 1D 39 48 2A 48 09 5A 1A 52 C2 1D
+09 9A 03 24 7E 9A FC 27 1A 83 0E 4A 2A 88 82 4A
+C2 1D 30 4D B0 12 2A 44 3E 4A 9C 4A EA 45 88 57
+5E 4B E0 45 4A 4E AA 57 8A 57 29 4E 39 90 86 12
+02 20 2E 53 30 41 39 90 85 12 03 20 1E 4E 02 00
+30 41 39 90 84 12 01 20 2E 52 30 41 19 42 C4 1D
+A2 53 C4 1D 89 4E 00 00 3E 40 29 00 12 12 C2 1D
+92 53 C2 1D B0 12 2A 44 3E 4A 5E 4B E0 45 DC 57
+D2 57 21 53 3E 90 10 00 BB 2D 30 41 DE 57 B2 41
+C2 1D 22 D3 30 41 87 12 90 46 52 57 EE 57 82 43
+BC 1D 92 42 C4 1D BA 1D A2 53 C4 1D 0A 4E 3E 4F
+FA 90 23 00 00 00 34 20 92 53 C2 1D B0 12 74 57
+0E 93 04 20 B2 40 00 03 BC 1D 27 3C 1E 93 04 20
+B2 40 10 03 BC 1D 21 3C 2E 93 04 20 B2 40 20 03
+BC 1D 1B 3C 2E 92 04 20 B2 40 20 02 BC 1D 15 3C
+3E 92 04 20 B2 40 30 02 BC 1D 0F 3C 3E 93 04 20
+B2 40 30 03 BC 1D 09 3C B2 40 30 00 BC 1D 19 42
+C4 1D A2 53 C4 1D 89 4E 00 00 3E 4F 3D 41 30 4D
+FA 90 26 00 00 00 08 20 B2 40 10 02 BC 1D 92 53
+C2 1D 30 12 5E 58 76 3F FA 90 40 00 00 00 1A 20
+B2 40 20 00 BC 1D 92 53 C2 1D B0 12 BC 57 0E 20
+B2 50 10 00 BC 1D 3E 40 2B 00 B0 12 BC 57 32 24
+92 92 BE 1D C2 1D 02 24 92 53 C2 1D 8E 10 82 5E
+BC 1D D3 3F B0 12 BC 57 F9 23 B2 50 10 00 BC 1D
+3E 40 28 00 B0 12 74 57 30 12 AE 58 67 3F 87 12
+90 46 52 57 E6 58 FE 90 26 00 00 00 3E 40 20 00
+04 20 B2 50 82 00 BC 1D C2 3F B0 12 BC 57 DF 23
+B2 50 80 00 BC 1D 3E 40 28 00 B0 12 74 57 B0 12
+AC 57 D5 23 3D 40 4A 4E 30 4D 8A 48 04 52 45 54
+49 00 87 12 34 44 00 13 64 4C 2A 44 34 44 2C 00
+E6 57 DE 58 36 59 2E 4E 1E D2 BC 1D 19 42 BA 1D
+92 3F 36 57 03 4D 4F 56 84 12 2C 59 00 40 44 59
+05 4D 4F 56 2E 42 84 12 2C 59 40 40 00 00 03 41
+44 44 84 12 2C 59 00 50 5E 59 05 41 44 44 2E 42
+84 12 2C 59 40 50 6A 59 04 41 44 44 43 00 84 12
+2C 59 00 60 78 59 06 41 44 44 43 2E 42 00 84 12
+2C 59 40 60 1C 59 04 53 55 42 43 00 84 12 2C 59
+00 70 96 59 06 53 55 42 43 2E 42 00 84 12 2C 59
+40 70 A4 59 03 53 55 42 84 12 2C 59 00 80 B4 59
+05 53 55 42 2E 42 84 12 2C 59 40 80 18 57 03 43
+4D 50 84 12 2C 59 00 90 CE 59 05 43 4D 50 2E 42
+84 12 2C 59 40 90 06 57 04 44 41 44 44 00 84 12
+2C 59 00 A0 E8 59 06 44 41 44 44 2E 42 00 84 12
+2C 59 40 A0 DA 59 03 42 49 54 84 12 2C 59 00 B0
+06 5A 05 42 49 54 2E 42 84 12 2C 59 40 B0 12 5A
+03 42 49 43 84 12 2C 59 00 C0 20 5A 05 42 49 43
+2E 42 84 12 2C 59 40 C0 2C 5A 03 42 49 53 84 12
+2C 59 00 D0 3A 5A 05 42 49 53 2E 42 84 12 2C 59
+40 D0 00 00 03 58 4F 52 84 12 2C 59 00 E0 54 5A
+05 58 4F 52 2E 42 84 12 2C 59 40 E0 86 59 03 41
+4E 44 84 12 2C 59 00 F0 6E 5A 05 41 4E 44 2E 42
+84 12 2C 59 40 F0 90 46 E6 57 8C 5A 1A 42 BC 1D
+B2 F0 70 00 BC 1D 8A 10 3A F0 0F 00 82 DA BC 1D
+4A 3F C0 59 03 52 52 43 84 12 86 5A 00 10 A4 5A
+05 52 52 43 2E 42 84 12 86 5A 40 10 B0 5A 04 53
+57 50 42 00 84 12 86 5A 80 10 BE 5A 03 52 52 41
+84 12 86 5A 00 11 CC 5A 05 52 52 41 2E 42 84 12
+86 5A 40 11 D8 5A 03 53 58 54 84 12 86 5A 80 11
+00 00 04 50 55 53 48 00 84 12 86 5A 00 12 F2 5A
+06 50 55 53 48 2E 42 00 84 12 86 5A 40 12 46 5A
+04 43 41 4C 4C 00 84 12 86 5A 80 12 34 44 2C 00
+E6 57 DE 58 26 5B 59 42 BC 1D 5A 42 BD 1D 82 4A
+BC 1D BE 90 00 15 00 00 02 20 0A 89 02 3C 09 8A
+0A 49 3A 90 10 00 03 2C 5A 0E A8 3F 1A 53 0E 4A
+87 12 AC 47 BE 49 0D 6F 75 74 20 6F 66 20 62 6F
+75 6E 64 73 CA 4D 00 5B 05 50 55 53 48 4D 84 12
+1C 5B 00 15 68 5B 04 50 4F 50 4D 00 84 12 1C 5B
+00 17 90 46 52 57 88 5B 82 43 BC 1D 92 42 C4 1D
+BA 1D A2 53 C4 1D 92 53 C2 1D 3E 40 2C 00 B0 12
+2A 44 3E 4A 5E 4B E0 45 4A 4E DE 58 AE 5B 0A 4E
+3E 4F 1A 83 2A 92 CA 2F 8A 10 5A 06 6F 3F E6 5A
+04 52 52 43 4D 00 84 12 82 5B 50 00 C0 5B 04 52
+52 41 4D 00 84 12 82 5B 50 01 CE 5B 04 52 4C 41
+4D 00 84 12 82 5B 50 02 DC 5B 04 52 52 55 4D 00
+84 12 82 5B 50 03 85 12 00 3C EA 5B 03 53 3E 3D
+85 12 00 38 FC 5B 02 53 3C 00 85 12 00 34 76 5B
+03 30 3E 3D 85 12 00 30 10 5C 02 30 3C 00 85 12
+00 30 00 00 02 55 3C 00 85 12 00 2C 24 5C 03 55
+3E 3D 85 12 00 28 1A 5C 03 30 3C 3E 85 12 00 24
+38 5C 02 30 3D 00 85 12 00 20 96 48 02 49 46 00
+1A 42 C4 1D 8A 4E 00 00 A2 53 C4 1D 0E 4A 30 4D
+2E 5C 04 54 48 45 4E 00 1A 42 C4 1D 08 4E 3E 4F
+09 48 29 53 0A 89 0A 11 3A 90 00 02 68 2F 88 DA
+00 00 30 4D F6 59 04 45 4C 53 45 00 1A 42 C4 1D
+BA 40 00 3C 00 00 A2 53 C4 1D 2F 83 8F 4A 00 00
+E3 3F 62 5C 05 55 4E 54 49 4C 3A 4F 08 4E 3E 4F
+19 42 C4 1D 2A 83 0A 89 0A 11 3A 90 00 FE 47 3B
+3A F0 FF 03 08 DA 89 48 00 00 A2 53 C4 1D 30 4D
+7A 5A 05 41 47 41 49 4E 87 12 F6 5B AA 5C 2A 44
+00 00 05 57 48 49 4C 45 87 12 50 5C 78 44 2A 44
+06 5C 06 52 45 50 45 41 54 00 87 12 F6 5B AA 5C
+68 5C 2A 44 00 00 03 4A 4D 50 87 12 3C 4E F6 5B
+AA 5C 2A 44 3E B0 00 10 03 20 3E E0 00 04 30 4D
+3E 90 00 34 06 28 03 24 3E 40 00 34 30 4D 3E 40
+00 38 30 4D 00 00 04 3F 4A 4D 50 00 87 12 14 5D
+3C 4E 78 44 AA 5C 2A 44 4A 5D 3D 41 08 4E 3E 4F
+2A 48 0A 93 04 20 98 42 C4 1D 00 00 30 4D 88 43
+00 00 A4 3F 10 5B 03 42 57 31 84 12 48 5D 00 00
+66 5D 03 42 57 32 84 12 48 5D 00 00 72 5D 03 42
+57 33 84 12 48 5D 00 00 8A 5D 3D 41 1A 42 C4 1D
+28 4E 08 93 08 20 BA 4F 00 00 A2 53 C4 1D 8E 4A
+00 00 3E 4F 30 4D 8E 43 00 00 61 3F 00 00 03 46
+57 31 84 12 88 5D 00 00 AE 5D 03 46 57 32 84 12
+88 5D 00 00 BA 5D 03 46 57 33 84 12 88 5D 00 00
+C6 5D 04 47 4F 54 4F 00 87 12 F6 5B 3C 4E 5A 4C
+2A 44 36 5D 05 3F 47 4F 54 4F 87 12 14 5D 3C 4E
+5A 4C 2A 44 B4 54 09 7B 55 54 49 4C 49 54 59 7D
+30 4D 7C 52 02 2E 53 00 8F 4E FE FF 0E 4F 2E 83
+8F 4E FA FF 3E 40 80 1C 2E 83 8F 4E FC FF 3F 80
+06 00 2E 8F 0E 11 87 12 34 44 3C 00 1E 49 AC 47
+34 44 08 00 1E 49 34 44 3E 00 1E 49 6A 49 8A 44
+8A 44 C4 45 EA 45 4E 5E 62 44 62 44 2A 44 F0 45
+28 46 F2 44 78 47 34 44 02 00 00 46 50 5E 2A 44
+04 5E 03 2E 52 53 8F 4E FE FF 8F 41 FA FF 3E 40
+E0 1C D3 3F 04 4B 01 3F 2E 4E 30 40 78 47 30 55
+05 57 4F 52 44 53 87 12 5E 49 34 44 03 00 80 49
+34 44 CA 1D F2 44 50 46 34 44 10 00 44 44 20 45
+AE 51 34 44 00 00 44 44 34 44 10 00 44 44 20 45
+34 44 00 00 F0 45 44 44 28 46 50 46 20 45 F2 44
+D2 45 E0 45 D4 5E 62 44 62 44 28 46 44 44 50 46
+20 45 F2 44 34 44 02 00 00 46 B6 5E 54 44 E0 45
+16 5F 44 44 34 44 02 00 28 45 F2 44 9A 44 50 46
+20 45 FA 44 44 44 AC 4C 34 44 7F 00 36 45 A2 49
+08 45 34 44 0F 00 36 45 34 44 10 00 78 44 28 45
+80 49 DC 45 A2 5E 62 44 2A 44 A8 51 03 4D 41 58
+2E 9F 07 38 2F 53 30 4D 1C 5F 03 4D 49 4E 2E 9F
+F9 3B 3E 4F 30 4D C0 50 03 55 2E 52 87 12 B0 44
+9A 46 34 44 00 00 F6 46 28 47 42 47 BC 44 8A 44
+28 45 34 44 00 00 20 5F 80 49 A2 49 2A 44 8A 52
+04 44 55 4D 50 00 0D 12 12 12 DA 1D B2 40 10 00
+DA 1D 2E 5F B0 12 2A 44 78 44 8A 44 8A 44 78 47
+34 44 01 00 28 45 78 47 34 44 F0 FF 36 45 F0 45
+5E 49 28 46 34 44 07 00 3C 5F 6A 49 28 46 34 44
 10 00 20 45 28 46 F0 45 28 46 08 45 34 44 03 00
-4A 5E 12 46 A8 5E 38 49 38 49 28 46 34 44 10 00
-20 45 28 46 F0 45 28 46 08 45 34 44 7E 00 3C 5E
-90 46 2E 5E EC 48 12 46 C6 5E 34 44 10 00 00 46
-90 5E BC 44 7A 46 FA 44 2A 44
+3C 5F 12 46 A8 5F 6A 49 6A 49 28 46 34 44 10 00
+20 45 28 46 F0 45 28 46 08 45 34 44 7E 00 2E 5F
+90 46 20 5F 1E 49 12 46 C6 5F 34 44 10 00 00 46
+90 5F BC 44 7A 46 FA 44 2A 44 F6 5D 0A 7B 46 49
+58 50 4F 49 4E 54 7D 00 30 4D B0 56 05 48 4F 4C
+44 53 39 4F 09 5E 18 42 AA 1D 19 83 1E 83 04 28
+18 83 E8 49 00 00 F9 3F 82 48 AA 1D 3E 4F 30 4D
+1E 52 02 46 2B 00 BF 5F 02 00 3E 6F 30 4D 22 60
+02 46 2D 00 BF 8F 02 00 8F 7E 00 00 3E 4F 30 4D
+30 60 02 46 2F 00 1C 4F 02 00 0C EE 0B 43 18 4F
+04 00 19 4F 02 00 39 B0 40 1F 04 24 38 E3 39 E3
+18 53 09 63 3E B0 40 1F 06 24 BF E3 00 00 3E E3
+9F 53 00 00 0E 63 37 15 0A 43 26 4F 35 40 20 00
+0A 9E 01 20 09 96 02 28 09 86 0A 7E 07 67 04 64
+15 83 09 30 0B 5B 08 68 09 69 0A 6A F1 2B 09 86
+0A 7E 12 D3 F3 3F 2F 52 8F 47 00 00 0E 44 34 17
+3C F3 06 34 BF E3 00 00 3E E3 9F 53 00 00 0E 63
+30 4D 42 60 03 46 23 53 2F 83 8F 4E 00 00 2B 42
+B2 90 0A 00 DA 1D 01 20 1B 53 0C 43 A2 4F C0 04
+92 42 DA 1D C8 04 9F 42 E4 04 00 00 1E 42 E6 04
+3E 90 0A 00 02 28 3E 50 07 00 3E 50 30 00 CC 4E
+88 1D 1C 53 0C 9B EA 2B 0E 4B 8F 43 00 00 39 40
+88 1D 78 3F C4 60 02 46 2A 00 92 4F 04 00 D4 04
+92 4F 02 00 D6 04 A2 4F E0 04 82 4E E2 04 2F 52
+00 3C 00 3C 9F 42 E6 04 00 00 1E 42 E8 04 30 4D
+16 61 02 46 2E 00 87 12 9A 46 44 44 B0 44 6E 45
+78 44 C8 60 34 44 2C 00 58 47 28 47 BC 44 66 47
+42 47 A2 49 6A 49 2A 44 22 53 03 53 3E 46 2F 83
+8F 43 00 00 30 4D 60 5F 03 44 3E 46 2E 4F 8F 43
+00 00 30 4D 6A 61 09 32 43 4F 4E 53 54 41 4E 54
+87 12 9E 4F 78 44 64 4C 64 4C B6 4F 9E 61 2F 83
+BF 4E 00 00 2E 4E 3D 41 30 4D
 @FFC6
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 8A 48
-62 54 62 54 62 54 62 54 62 54 62 54 62 54 62 54
-62 54 62 54 62 54 62 54 62 54
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 A4 48
+48 55 48 55 48 55 48 55 48 55 48 55 48 55 48 55
+48 55 48 55 48 55 48 55 48 55
 q
index 705e338..81832c7 100644 (file)
--- a/README.md
+++ b/README.md
@@ -23,7 +23,7 @@ This enables to make a fast data logger with a small footprint as a MSP430FR5738
     
     Beforehand, the preprocessor GEMA, by means of a target.pat file, will have translated your source file.f
     in a targeted source file.4th ready to download.
-    A set of .bat files is furnished to do this automatically. See it all in the MSP430-FORTH folder.
+    A set of .bat files is furnished to do this automatically. See it all in the \MSP430-FORTH folder.
 
        The download, interpretation and compilation of a source file.4th is done at a throughput of 40/80/120 kbytes/sec
     with a 8/16/24 MHz clock. Considering a ratio 5/1, that of the compiled code is 8/16/24 kbytes/sec.
@@ -40,18 +40,34 @@ This enables to make a fast data logger with a small footprint as a MSP430FR5738
 What is new ?
 -------------
 
-       V162.
+    FastForth V2.0, major version.
+
+    Added fixed point s15q16 numbers. Thus FAST FORTH recognises : 
+    unsigned/signed double numbers ud/d by adding a decimal point (ud <= .4294967295)/(-.2147483648 <= d <= .2147483647),
+    and s15q16 signed numbers by adding a comma (-32768,00000 <= s15q16 <= 32767,00000).
+    The internal or external words set {FIXPOINT} adds the words: HOLDS F+ F- F/ F#S F* F. S>F, D>F and 2CONSTANT.
+    
+    Fixed issue about the word LOAD": when called from a word, returns well into this calling word.
+    Note that with MSP430FR57xx family, LOAD return stack borrows LEAVE stack and SDIB uses PAD, due to lack of RAM.
+    
+    With the BOOTLOADER option, QUIT becomes a DEFERed word to easily enable/disable bootloader:
+    ' BOOT IS QUIT     enables bootloader.
+    ' (QUIT) IS QUIT   disables bootloader.
+
+    Same logic as QUIT, ACCEPT is a DEFERed word only with SD_CARD_LOADER option. 
+
+    Added QUIETBOOT option to enable BOOT without displaying; use with care...
+    
+    V162.
 
     Added a set of words to enable conditional interpretation/compilation : MARKER [DEFINED] [UNDEFINED] [IF] [ELSE]
-    [THEN]. A MARKER word ( defined as {word} to well see it) allows you to wipe some program even if loaded in memory
-    below RST_STATE boundary. See conditional compilation source files in the subfolder MSP430-FORTH.
+    [THEN]. A MARKER word (defined as {word} to well see it) allows you to wipe some program even if loaded in memory
+    below RST_STATE boundary. See conditional compilation source files in \MSP430-FORTH.
 
-    All interpretation / compilation errors now execute PWR_STATE, so any incorrect definition will be automatically
-    erased, as well as its source file, if any.
+    All interpretation/compilation errors now execute POWER_STATE, so any incorrect definition
+    and all its source file will be automatically erased.
 
-    Added a bootloader option which loads BOOT.4TH from SD_Card memory when the cause of reset in SYSRSTIV register
-    is <> 0 (<> WARM). When you download FAST FORTH (SYSRSTIV = 15), and if a sd_card memory is present, BOOT.4TH will
-    load SD_TOOLS.4TH. You can of course modify BOOT.4TH according to your convenience!
+    Added a bootloader option which loads BOOT.4TH from SD_Card memory.
 
 
        V161.
@@ -81,8 +97,8 @@ What is new ?
        
     Added direct file transfer from PC to the target SD_CARD. 
     Measured throughput with "HCI" SD CARD: 90 kbytes/s at 3Mbauds and 16MHz target clock.
-    You can do it from scite editor (menu Tools) or by using specific bat files.
-    Double click on these to see how to do.
+    You can do it from scite editor (menu Tools) or by using specific bat file.
+    Double click on it to see how to do.
        
     JTAG and BSL signatures (FF80h-FF88h) are protected against overwrite, typically during source file download. 
     
@@ -94,7 +110,7 @@ What is new ?
        In the embedded assembler, added 3 backward BW1 BW2 BW3 and 3 forward FW1 FW2 FW3 jump labels to use with GOTO, ?GOTO.
     These labels are for single use (one jump for one label) but immediately reusable once resolved.
        
-       you can compile up to 32 threads vocabularies ==> interpretation time is divided by ~sqrt(threads).
+       you can compile up to 32 threads vocabularies.
 
        Memory management :
        Fast Forth defines 4 levels of program memory with this words :
@@ -117,7 +133,7 @@ What is new ?
        to your convenience. To do, comment/uncomment their line.
 
     for your application, select the mode LPM{0,1,2,3,4} that enables wake on FAST FORTH input, depending of family:
-    FR2xxx: LPM0, FR57xx : LPM0 to 2, FR59xx : LPM0 to 4.
+    FR2xxx: LPM0, FR57xx : LPM0 to LPM2, FR59xx : LPM0 to LPM4.
 
     DEEP_RST (RESET + WIPE) can be hardware performed via the programmation interface (Vcc,RX,TX,RST,TEST,GND).
 
@@ -126,6 +142,7 @@ Many thanks to Brad Rodriguez
 -----------------------------
 
 for his CamelForth which served me as a kind of canvas.
+And also to Matthias Koch for its ideas about s15.16 implementation.
 
 Unlike CamelForth this FORTH is a "Direct Threaded Code", with an embedded assembler following the standard syntax,
 not the one used in the world Forth.
@@ -153,7 +170,7 @@ And that's the magic: After I finished editing (or modify) the source file, I pr
 Content
 -------
 
-With a size of 6 kb, Fast Forth contains 120 words:
+With a size of about 6 kb, Fast Forth contains 119 words:
 
     ASM            CODE           HI2LO          COLD           WARM           (WARM)         WIPE           RST_HERE        
     PWR_HERE       RST_STATE      PWR_STATE      MOVE           LEAVE          +LOOP          LOOP           DO              
@@ -162,14 +179,14 @@ With a size of 6 kb, Fast Forth contains 120 words:
     RECURSE        IMMEDIATE      IS             [']            ]              [              \              '               
     ABORT"         ABORT          QUIT           EVALUATE       COUNT          LITERAL        ,              EXECUTE         
     >NUMBER        FIND           WORD           ."             S"             TYPE           SPACES         SPACE           
-    CR             (CR)           NOECHO         ECHO           EMIT           (EMIT)         (ACCEPT)       ACCEPT          
-    KEY            (KEY)          C,             ALLOT          HERE           .              D.             U.              
-    SIGN           HOLD           #>             #S             #              <#             BL             STATE           
-    BASE           >IN            CPL            TIB            PAD            J              I              UNLOOP          
-    U<             >              <              =              0>             0<             0=             DABS            
-    ABS            NEGATE         XOR            OR             AND            -              +              C!              
-    C@             !              @              DEPTH          R@             R>             >R             ROT             
-    OVER           SWAP           NIP            DROP           ?DUP           DUP            LIT            EXIT
+    CR             (CR)           NOECHO         ECHO           EMIT           (EMIT)         ACCEPT         KEY         
+    (KEY)          C,             ALLOT          HERE           .              D.             U.             SIGN        
+    HOLD           #>             #S             #              <#             BL             STATE          BASE        
+    >IN            CPL            TIB            PAD            J              I              UNLOOP         U<         
+    >              <              =              0>             0<             0=             DABS           ABS        
+    NEGATE         XOR            OR             AND            -              +              C!             C@          
+    !              @              DEPTH          R@             R>             >R             ROT            OVER        
+    SWAP           NIP            DROP           ?DUP           DUP            LIT            EXIT
 
 ...size that includes its embedded assembler of 71 words:
 
@@ -183,37 +200,58 @@ With a size of 6 kb, Fast Forth contains 120 words:
     SUBC.B         SUBC           ADDC.B         ADDC           ADD.B          ADD            MOV.B          MOV         
     RETI           LO2HI          COLON          ENDASM         ENDCODE        (SLEEP)        SLEEP
 
-...everything you need to program effectively in assembly or FORTH or mix, as you want. See examples in \MSP430-FORTH folder.
+...everything you need to program effectively in assembly or FORTH or mix, as you want. See examples in \MSP430-FORTH.
 
-CONDCOMP ADD-ON switch in forthMSP430.asm adds:
 
-    [DEFINED]      [UNDEFINED]    [IF]           [ELSE]         [THEN]         COMPARE        MARKER        
+Here are kernel compilation options:
 
-VOCABULARY ADD-ON switch in forthMSP430.asm adds:
+CONDCOMP which enable conditional compilation:
 
-    DEFINITIONS    ONLY           PREVIOUS       ALSO           FORTH          VOCABULARY   
+    [DEFINED]      [UNDEFINED]    [IF]           [ELSE]         [THEN]         COMPARE        MARKER        
 
-SD\_CARD\_LOADER ADD-ON switch in forthMSP430.asm adds:
+SD\_CARD\_LOADER to load FORTH source files from SD_CARD
 
-    LOAD"          {SD_LOAD}     
+    LOAD"         (ACCEPT)       
 
-SD\_CARD\_READ\_WRITE ADD-ON switch in forthMSP430.asm adds:
+SD\_CARD\_READ\_WRITE to read write delete files and direct copy from TERMinal input to SD_card
 
     TERM2SD"       SD_EMIT        WRITE          WRITE"         READ           READ"          CLOSE          DEL"         
 
-external ANS\_COMPLEMENT in COMPHMPY.f or COMPSMPY.f adds:
+BOOTLOADER adds a boot on SD_CARD
+
+    (QUIT)         BOOT        
+
+VOCABULARY to create vocabularies (words list)
+
+    DEFINITIONS    ONLY           PREVIOUS       ALSO           FORTH          VOCABULARY   
+
+
+
+ADDs-ON below may be added either hard in kernel or later loaded/removed as any application:
+
+ANS\_CORE\_COMPLIANT necessary to pass ANS94 CORE tests
 
     >BODY          SOURCE         .(             (              DECIMAL        HEX            FILL           +!           
     [CHAR]         CHAR           CELL+          CELLS          CHAR+          CHARS          ALIGN          ALIGNED      
     2OVER          2SWAP          2DROP          2DUP           2!             2@             */             */MOD        
     MOD            /              /MOD           *              FM/MOD         SM/REM         UM/MOD         M*           
     UM*            S>D            2/             2*             MIN            MAX            1-             1+          
-    RSHIFT         LSHIFT         INVERT          
+    RSHIFT         LSHIFT         INVERT         {ANS_COMP}
   
-external SD\_TOOLS ADD-ON in SD\_TOOLS.f adds:
+SD\_TOOLS, basic tools for FAT16/32 
+
+    DIR            FAT            CLUSTER        SECTOR         {SD_TOOLS}
+
+FIXPOINT, minimalist fixed point arithmetic + - * /
+
+    2CONSTANT      D>F            S>F            F.             F*             F#S            F/             F-          
+    F+             HOLDS          {FIXPOINT}
+
+UTILITY:
+
+    DUMP           U.R            WORDS          ?              .RS            .S             {UTILITY}
+
 
-    DIR            FAT            CLUSTER        SECTOR         DUMP           U.R            MIN          
-    MAX            WORDS          .S             SP@            ?
 
 
 Organize your gitlab copy of FastForth
@@ -229,7 +267,7 @@ remember its shared name i.e. : //myPC/users/my/FastForth.
 
 in file explorer then right clic on root to connect a network drive, copy shared name in drive name and choose a free drive letter a:, b: ...
 
-Thus all relative paths will be linked to this drive, except the files.bat links in the folder \MSP430-FORTH.
+Thus all relative paths will be linked to this drive, except the three \MSP430-FORTH\files.bat links.
 For all of them right clic select, select properties then check drive letter in target.
 
 WARNING! if you erase a file directly in this drive or in one of its subfolders, no trash, the file is lost!
@@ -319,7 +357,7 @@ you will need an USBtoUART cable with a PL2303TA or PL2303HXD device that allows
        http://www.google.com/search?q=PL2303TA
        http://www.google.com/search?q=PL2303HXD
     WARNING! XON/XOFF no longer works with new Prolific driver v3.8.12.0 (03/03/2017)...
-             Waiting next update, get on /prog folder previous PL2303_Prolific_DriverInstaller_v1160.exe (or .zip)
+             Waiting next update, get on web previous PL2303_Prolific_DriverInstaller_v1160.exe (or .zip)
 
 
 or USBtoUART bridge, with a CP2102 device and 3.3V/5V that allows XON/XOFF control flow :
@@ -370,7 +408,7 @@ If you plan to supply your target vith a PL2303 cable, open its box to weld red
 Send a source file to the FAST FORH target
 ------------------
 
-Three .bat files are done in folders \MSP430-FORTH that enable you to do all you want.
+Three .bat files are done in \MSP430-FORTH that enable you to do all you want.
 Double clic on them to see how to do.
 
 you can also open any source file with scite editor, and do all you want via its Tools menu.
@@ -384,7 +422,7 @@ First, hardware
 
 If you have MSP-EXP430FR5994, nothing to do.
 
-For the choice of a SD card socket be carefull, pin CD (Card Detect) must be present ! search google: micro SD card board 9 pin
+For the choice of a SD card socket be carefull, pin CD (Card Detect) must be present! google search: "micro SD card 9 pin"
 
 
 the commands
@@ -477,7 +515,7 @@ CAPS ON/OFF add on
 
 ECHO / NOECHO
 
-The words DEFER and IS are implemented. CR, EMIT, KEY, SLEEP and WARM are deferred words.
+The words DEFER and IS are implemented. CR, EMIT, KEY, ACCEPT, QUIT and WARM are deferred words.
 
 Error messages are colored (reverse video on ANSI terminal).
 
@@ -562,7 +600,7 @@ Or by indexed addressing :
        MOV.B BUFFER_OUT(R8),R9
 with R8 register as buffer pointer.
 
-see TESTASM.4th in \MSP430-FORTH folder.
+see \MSP430-FORTH\TESTASM.4th.
 
 What is the interest of a very fast baud rate ?
 ---------------------
index c9f6625..78a6da7 100644 (file)
@@ -3,38 +3,38 @@
 ! translate Forth registers to TI's ones
 ! ============================================
 
-PC=R0
-RSP=R1
-SR=R2
-rDODOES=R4
-rDOCON=R5
-rDOVAR=R6
-rEXIT=R7
-rDOCOL=R7
-R=R7
-Y=R8
-X=R9
-W=R10
-T=R11
-S=R12
-IP=R13
-TOS=R14
-PSP=R15
+PC=R0!
+RSP=R1!
+SR=R2!
+rDODOES=R4!
+rDOCON=R5!
+rDOVAR=R6!
+rEXIT=R7!
+rDOCOL=R7!
+R=R7!
+Y=R8!
+X=R9!
+W=R10!
+T=R11!
+S=R12!
+IP=R13!
+TOS=R14!
+PSP=R15!
 
 ! forth words filter
-U\.R=U\.R
-R\>=R\>
-R\@=R\@
-\>R=\>R
-S\>D=S\>D
-S\<=S\<
-S\>\==S\>\=
-\.S=\.S
-\#S=\#S
-S\"=S\"
+U\.R=U\.R!
+R\>=R\>!
+R\@=R\@!
+\>R=\>R!
+S\>=S\>!
+S\<=S\<!
+S\>\==S\>\=!
+\.S=\.S!
+\#S=\#S!
+S\"=S\"!
 
-T\{=T\{
-\}T=\}T
+T\{=T\{!
+\}T=\}T!
 !_R=_R
 !_S=_S
 !_T=_T
index 396defd..7460a50 100644 (file)
@@ -6,25 +6,21 @@
 ! ===========================================================
 
 
-! ================================================
-! SR bits : only SR(11:0) are PUSHed by interrupts
-! ================================================
+! ============================================
+! SR bits :
+! ============================================
 \#C=\#1!        = SR(0) Carry flag
 \#Z=\#2!        = SR(1) Zero flag
 \#N=\#4!        = SR(2) Negative flag
-GIE=8!          = SR(3) Enable Int
-CPUOFF=\$10!    = SR(4) CPUOFF    
-OSCOFF=\$20!    = SR(5) OSCOFF
-SCG0=\$40!      = SR(6) SCG0     
-SCG1=\$80!      = SR(7) SCG1
-V=\$100!        = SR(8) oVerflow flag
-UF9=\$200!      = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
-UF10=\$400!      = SR(10) User Flag 2  
-UF11=\$800!      = SR(11) User Flag 3  
-
-C\@=C\@
-C\!=C\!
-C\,=C\,
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
 
 ! ============================================
 ! PORTx, Reg  bits :
@@ -49,23 +45,14 @@ BIT15=\$8000!
 ! ============================================
 ! symbolic codes :
 ! ============================================
-POP=MOV \@R1+,!         \ MOV @RSP+,
-POP\.B=MOV\.B \@R1+,!   \ MOV.B @RSP+,
 RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
-NOP=MOV 0,R3!       \                one word one cycle
+NOP=MOV \#0,R3!     \                one word one cycle
 NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
 NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
 NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
 
 
-! ============================================
-! FORTH DOxxx registers :
-! ============================================
-rDOCOL=R7!
-rDOVAR=R6!
-rDOCON=R5!
-rDODOES=R4!
-
 ! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
 ! those addresses are usable with the symbolic assembler
 
@@ -93,6 +80,7 @@ RXOFF=\$1812!
 
 ReadSectorWX=\$1814!    call with W = SectorLO  X = SectorHI
 WriteSectorWX=\$1816!   call with W = SectorLO  X = SectorHI
+GPFLAGS=\$1818!
 
 
 ! ============================================
@@ -102,7 +90,8 @@ LSTACK_SIZE=\#16! words
 PSTACK_SIZE=\#48! words
 RSTACK_SIZE=\#48! words
 PAD_LEN=\#84! bytes
-TIB_LEN=\#80! bytes
+!TIB_LEN=\#80! bytes
+TIB_LEN=\#82! bytes
 HOLD_SIZE=\#34! bytes
 
 ! ============================================
@@ -113,7 +102,9 @@ LSATCK=\$2000!      \ leave stack,      grow up
 PSTACK=\$2080!      \ parameter stack,  grow down
 RSTACK=\$20E0!      \ Return stack,     grow down
 PAD_ORG=\$20E2!     \ user scratch pad buffer, grow up
-TIB_ORG=\$2138!     \ Terminal input buffer, grow up
+!TIB_ORG=\$2138!     \ Terminal input buffer, grow up
+TIB_ORG=\$2136!     \ Terminal input buffer, grow up
+HOLDS_ORG=\$2188!   \ a good address for HOLDS
 BASE_HOLD=\$21AA!   \ BASE HOLD area, grow down
 
 ! ----------------------
@@ -208,8 +199,6 @@ CurrentHdl=\$2434!  contains the address of the last opened file structure, or 0
 ! ---------------------------------------
 SAVEtsLEN=\$2436!              of previous ACCEPT
 SAVEtsPTR=\$2438!              of previous ACCEPT
-MemSectorL=\$243A!             double word current Sector of previous LOAD"ed file
-MemSectorH=\$243C!
 
 ! ---------------------------------------
 ! Handle structure
@@ -242,6 +231,11 @@ HandleLenght=24!
 FirstHandle=\$2440!
 HandleOutOfBound=\$2500!
 
-SDIB=\$2500!
+!Stack of return IP for LOADed files, preincrement stack structure
+LOAD_STACK=\$2500!
+LOADPTR=\$2500!
+
+!SD_card Input Buffer
+SDIB=\$2512!
 SDIB_LEN=\#84!
-SD_END_DATA=\$2554!
\ No newline at end of file
+SD_END_DATA=\$2566!
index 0e4d8b2..02d5576 100644 (file)
 \#C=\#1!        = SR(0) Carry flag
 \#Z=\#2!        = SR(1) Zero flag
 \#N=\#4!        = SR(2) Negative flag
-GIE=8!          = SR(3) Enable Int
-CPUOFF=\$10!    = SR(4) CPUOFF    
-OSCOFF=\$20!    = SR(5) OSCOFF
-SCG0=\$40!      = SR(6) SCG0     
-SCG1=\$80!      = SR(7) SCG1
-V=\$100!        = SR(8) oVerflow flag
-UF9=\$200!      = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
-UF10=\$400!      = SR(10) User Flag 2  
-UF11=\$800!      = SR(11) User Flag 3  
-
-C\@=C\@
-C\!=C\!
-C\,=C\,
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
 
 ! ============================================
 ! PORTx, Reg  bits :
@@ -53,15 +49,8 @@ NOP=MOV \#0,R3!     \                one word one cycle
 NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
 NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
 NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
-SEMI=MOV \@R1+,R13 \n MOV \@R13+,R0!
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
 
-! ============================================
-! FORTH DOxxx registers :
-! ============================================
-rDOCOL=R7!
-rDOVAR=R6!
-rDOCON=R5!
-rDODOES=R4!
 
 ! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
 ! those addresses are usable with the symbolic assembler
@@ -152,8 +141,6 @@ CurrentHdl=\$184C!  contains the address of the last opened file structure, or 0
 ! ---------------------------------------
 SAVEtsLEN=\$184E!              of previous ACCEPT
 SAVEtsPTR=\$1850!              of previous ACCEPT
-MemSectorL=\$1852!             double word current Sector of previous LOAD"ed file
-MemSectorH=\$1854!
 
 ! ---------------------------------------
 ! Handle structure
@@ -195,18 +182,23 @@ LSTACK_SIZE=\#16! words
 PSTACK_SIZE=\#48! words
 RSTACK_SIZE=\#48! words
 PAD_LEN=\#84! bytes
-TIB_LEN=\#80! bytes
+!TIB_LEN=\#80! bytes
+TIB_LEN=\#82! bytes
 HOLD_SIZE=\#34! bytes
 
 ! ============================================
 ! FastForth RAM memory map (= 1k):
 ! ============================================
 LEAVEPTR=\$1C00!    \ Leave-stack pointer, init by QUIT
-LSATCK=\$1C00!      \ leave stack,      grow up
-PSTACK=\$1C80!      \ parameter stack,  grow down
-RSTACK=\$1CE0!      \ Return stack,     grow down
+LOADPTR=\$1C00!     \ LOAD return pointer, init by SD_INIT
+LSATCK=\$1C00!      \ leave stack, grow up
+LOAD_STACK=\$1C00!  \ LOAD return stack, grow up
+PSTACK=\$1C80!      \ parameter stack, grow down
+RSTACK=\$1CE0!      \ Return stack, grow down
 PAD_ORG=\$1CE2!     \ user scratch pad buffer, grow up
-TIB_ORG=\$1D38!     \ Terminal input buffer, grow up
+!TIB_ORG=\$1D38!     \ Terminal input buffer, grow up
+TIB_ORG=\$1D36!     \ Terminal input buffer, grow up
+HOLDS_ORG=\$1D88!   \ a good address for HOLDS
 BASE_HOLD=\$1DAA!   \ BASE HOLD area, grow down
 
 ! ----------------------
index 0fe0586..befb0b2 100644 (file)
 \#C=\#1!        = SR(0) Carry flag
 \#Z=\#2!        = SR(1) Zero flag
 \#N=\#4!        = SR(2) Negative flag
-GIE=8!          = SR(3) Enable Int
-CPUOFF=\$10!    = SR(4) CPUOFF    
-OSCOFF=\$20!    = SR(5) OSCOFF
-SCG0=\$40!      = SR(6) SCG0     
-SCG1=\$80!      = SR(7) SCG1
-V=\$100!        = SR(8) oVerflow flag
-UF9=\$200!      = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
-UF10=\$400!      = SR(10) User Flag 2  
-UF11=\$800!      = SR(11) User Flag 3  
-
-C\@=C\@
-C\!=C\!
-C\,=C\,
+\#GIE=\#8!      = SR(3) Enable Int
+\#CPUOFF=\#\$10!= SR(4) CPUOFF    
+\#OSCOFF=\#\$20!= SR(5) OSCOFF
+\#SCG0=\#\$40!  = SR(6) SCG0     
+\#SCG1=\#\$80!  = SR(7) SCG1
+\#V=\#\$100!    = SR(8) oVerflow flag
+\#UF9=\#\$200!  = SR(9) User Flag 1 used by ?NUMBER --> INTERPRET --> LITERAL to process double numbers, else free for use.  
+\#UF10=\#\$400! = SR(10) User Flag 2  
+\#UF11=\#\$800! = SR(11) User Flag 3  
 
 ! ============================================
 ! PORTx, Reg  bits :
@@ -48,22 +44,12 @@ BIT15=\$8000!
 ! ============================================
 ! symbolic codes :
 ! ============================================
-POP=MOV \@R1+,!         \ MOV @RSP+,
-POP\.B=MOV\.B \@R1+,!   \ MOV.B @RSP+,
 RET=MOV \@R1+,R0!   \ MOV @RSP+,PC
-NOP=MOV 0,R3!       \                one word one cycle
+NOP=MOV \#0,R3!     \                one word one cycle
 NOP2=\$3C00 ,!      \ compile JMP 0  one word two cycles
 NOP3=MOV R0,R0!     \ MOV PC,PC      one word three cycles
 NEXT=MOV \@R13+,R0! \ MOV @IP+,PC   
-
-
-! ============================================
-! FORTH DOxxx registers :
-! ============================================
-rDOCOL=R7!
-rDOVAR=R6!
-rDOCON=R5!
-rDODOES=R4!
+SEMI=MOV \@R1+,R13\nMOV \@R13+,R0!
 
 
 ! You can check the addresses below by comparing their values in DTCforthMSP430FRxxxx.lst
@@ -102,7 +88,8 @@ LSTACK_SIZE=\#16! words
 PSTACK_SIZE=\#48! words
 RSTACK_SIZE=\#48! words
 PAD_LEN=\#84! bytes
-TIB_LEN=\#80! bytes
+!TIB_LEN=\#80! bytes
+TIB_LEN=\#82! bytes
 HOLD_SIZE=\#34! bytes
 
 ! ============================================
@@ -113,7 +100,9 @@ LSATCK=\$1C00!      \ leave stack,      grow up
 PSTACK=\$1C80!      \ parameter stack,  grow down
 RSTACK=\$1CE0!      \ Return stack,     grow down
 PAD_ORG=\$1CE2!     \ user scratch pad buffer, grow up
-TIB_ORG=\$1D38!     \ Terminal input buffer, grow up
+!TIB_ORG=\$1D38!     \ Terminal input buffer, grow up
+TIB_ORG=\$1D36!     \ Terminal input buffer, grow up
+HOLDS_ORG=\$1D88!   \ a good address for HOLDS
 BASE_HOLD=\$1DAA!   \ BASE HOLD area, grow down
 
 ! ----------------------
@@ -208,8 +197,6 @@ CurrentHdl=\$2034!  contains the address of the last opened file structure, or 0
 ! ---------------------------------------
 SAVEtsLEN=\$2036!              of previous ACCEPT
 SAVEtsPTR=\$2038!              of previous ACCEPT
-MemSectorL=\$203A!             double word current Sector of previous LOAD"ed file
-MemSectorH=\$203C!
 
 ! ---------------------------------------
 ! Handle structure
@@ -242,6 +229,11 @@ HandleLenght=24!
 FirstHandle=\$2040!
 HandleOutOfBound=\$2100!
 
-SDIB=\$2100!
+!Stack of return IP for LOADed files, preincrement stack structure
+LOAD_STACK=\$2100!
+LOADPTR=\$2100!
+
+!SD_card Input Buffer
+SDIB=\$2112!
 SDIB_LEN=\#84!
-SD_END_DATA=\$2154!
\ No newline at end of file
+SD_END_DATA=\$2166!
\ No newline at end of file
index cb569ac..c2bb1fe 100644 (file)
@@ -17,9 +17,9 @@
 ; You should have received a copy of the GNU General Public License
 ; along with this program.  If not, see <http://www.gnu.org/licenses/>.
 
-; ------------------------------------------------------------------------------
+; ----------------------------------------------------------------------
 ; assembled with MACROASSEMBLER AS (http://john.ccac.rwth-aachen.de:8000/as/)
-; ------------------------------------------------------------------------------
+; ----------------------------------------------------------------------
     .cpu MSP430
     .include "mspregister.mac" ;
 ;    macexp off             ; unrem to hide macro results
 ;===============================================================================
 
 ;-----------------------------------------------------------------------------------------------
-; TARGET configuration SWITCHES ; bytes values are for DTC=1, 8MHz 2457600bds XON/XOFF + RTS
+; TARGET configuration SWITCHES ; bytes values are for DTC=1, 8MHz 2457600 bds XON/XOFF
 ;-----------------------------------------------------------------------------------------------
 ;                                                                     TOTAL - SUM of (INFO+RAM +VECTORS) = MAIN PROG
-;MSP_EXP430FR5739   ; compile for MSP-EXP430FR5739 launchpad        ; 4136  - 160    ( 24 + 86 +  50   ) = 3976 bytes
-;MSP_EXP430FR5969   ; compile for MSP-EXP430FR5969 launchpad        ; 4102  - 162    ( 24 + 86 +  52   ) = 3940 bytes
-MSP_EXP430FR5994   ; compile for MSP-EXP430FR5994 launchpad        ; 4144  - 186    ( 24 + 86 +  76   ) = 3956 bytes
-;MSP_EXP430FR6989   ; compile for MSP-EXP430FR6989 launchpad        ; 4140  - 168    ( 24 + 86 +  58   ) = 3972 bytes
-;MSP_EXP430FR4133   ; compile for MSP-EXP430FR4133 launchpad        ; 4174  - 140    ( 24 + 86 +  30   ) = 4034 bytes
-;CHIPSTICK_FR2433   ; compile for the "CHIPSTICK" of M. Ken BOAK    ; 4070  - 148    ( 24 + 86 +  38   ) = 3928 bytes
+;MSP_EXP430FR5739   ; compile for MSP-EXP430FR5739 launchpad        ; 4226  - 160    ( 24 + 86 +  50   ) = 4066 bytes
+;MSP_EXP430FR5969   ; compile for MSP-EXP430FR5969 launchpad        ; 4192  - 162    ( 24 + 86 +  52   ) = 4030 bytes
+;MSP_EXP430FR5994   ; compile for MSP-EXP430FR5994 launchpad        ; 4234  - 186    ( 24 + 86 +  76   ) = 4048 bytes
+;MSP_EXP430FR6989   ; compile for MSP-EXP430FR6989 launchpad        ; 4226  - 168    ( 24 + 86 +  58   ) = 4058 bytes
+;MSP_EXP430FR4133   ; compile for MSP-EXP430FR4133 launchpad        ; 4244  - 140    ( 24 + 86 +  30   ) = 4104 bytes
+CHIPSTICK_FR2433   ;; compile for the "CHIPSTICK" of M. Ken BOAK    ; 4152  - 148    ( 24 + 86 +  38   ) = 4004 bytes
 
 ; choose DTC (Direct Threaded Code) model, if you don't know, choose 1
 DTC .equ 1  ; DTC model 1 : DOCOL = CALL rDOCOL           14 cycles 1 word      shortest DTC model
@@ -58,7 +58,7 @@ FREQUENCY   .equ 16 ; fully tested at 0.25,0.5,1,2,4,8,16 (and 24 for MSP430FR57
 THREADS     .equ 16 ; 1,   4,   8,  16,   32 search entries in dictionnary. 16 is the good compromise between speed and size.
                     ;    +40, +66, +90, +154 bytes
 
-TERMINALBAUDRATE    .equ 921600    ; choose value considering the frequency and the UART2USB bridge, see explanations below.
+TERMINALBAUDRATE    .equ 115200    ; choose value considering the frequency and the UART2USB bridge, see explanations below.
 TERMINALXONXOFF     ; to enable XON/XOFF flow control (PL2303TA/HXD, CP2102)
 TERMINALCTSRTS      ; + 18 bytes to enable hardware flow control with RTS (PL2303TA/HXD, FT232RL)
 
@@ -69,19 +69,21 @@ TERMINALCTSRTS      ; + 18 bytes to enable hardware flow control with RTS (PL230
 ;-------------------------------------------------------------------------------
 CONDCOMP           ;; +  354 bytes : add conditionnal compilation : [UNDEFINED] [DEFINED] [IF] [ELSE] [THEN], strongly recommended. 
 MSP430ASSEMBLER    ;; + 1894 bytes : add embedded assembler with TI syntax; without, you can do all but all much more slowly...
-SD_CARD_LOADER     ;; + 1834 bytes : to LOAD source files from SD_card
-SD_CARD_READ_WRITE ;; + 1176 bytes : to read, create, write and del files + source files direct copy from PC to SD_Card
-;BOOTLOADER         ; +   50 bytes : add a bootstrap to SD_CARD\BOOT.4TH.
-;VOCABULARY_SET     ; +  108 bytes : add VOCABULARY FORTH ASSEMBLER ALSO PREVIOUS ONLY DEFINITIONS (FORTH83, not ANSI)
-LOWERCASE          ; +   30 bytes : enable to write strings in lowercase.
-;BACKSPACE_ERASE    ; +   24 bytes : replace BS by ERASE, for visual comfort
+;SD_CARD_LOADER     ; + 1816 bytes : to LOAD source files from SD_card
+;SD_CARD_READ_WRITE ; + 1190 bytes : to read, create, write and del files + source files direct copy from PC to SD_Card
+;BOOTLOADER         ; +   52 bytes : add to <reset> a bootstrap to SD_CARD\BOOT.4TH.
+;QUIETBOOT          ; +    2 bytes : to perform bootload without displaying.
+VOCABULARY_SET     ;; +  108 bytes : add VOCABULARY FORTH ASSEMBLER ALSO PREVIOUS ONLY DEFINITIONS (FORTH83, not ANSI)
+LOWERCASE          ;; +   30 bytes : enable to write strings in lowercase.
+BACKSPACE_ERASE    ;; +   24 bytes : replace BS by ERASE, for visual comfort
 
 ;-------------------------------------------------------------------------------
-; OPTIONAL KERNELL ADD-ON SWITCHES (can be downloaded later)                    >------------------+
+; OPTIONAL KERNEL ADD-ON SWITCHES (can be downloaded later)                     >------------------+
 ; Tip: when switched ON below, ADD-ONs become protected against WIPE and Deep Reset...             |
 ;-------------------------------------------------------------------------------                   v
-UTILITY            ; +  412/494 bytes : add .S .RS WORDS U.R DUMP ?                                UTILITY.f
-SD_TOOLS           ; +  126 bytes for trivial DIR, FAT, CLUSTER and SECTOR view, adds UTILITY  SD_TOOLS.f
+UTILITY            ;; +  426/508 bytes : add .S .RS WORDS U.R DUMP ?                            UTILITY.f
+FIXPOINT           ;; +   40 bytes : add fixed point S15Q16 conversion words F#, F#S, F.        FIXPOINT.f
+;SD_TOOLS           ; +  126 bytes for trivial DIR, FAT, CLUSTER and SECTOR view, adds UTILITY  SD_TOOLS.f
 ;ANS_CORE_COMPLIANT ; +  876 bytes : required to pass coretest.4th ; (includes items below)     COMPxMPY.f (x = H or S)
 ;ARITHMETIC         ; +  358 bytes : add S>D M* SM/REM FM/MOD * /MOD / MOD */MOD /MOD */
 ;DOUBLE             ; +  130 bytes : add 2@ 2! 2DUP 2SWAP 2OVER
@@ -266,6 +268,7 @@ PAD_LEN     .equ    84      ; | grows up    (ans spec. : PAD >= 84 chars)
 TIB_LEN     .equ    82      ; | grows up    (ans spec. : TIB >= 80 chars)
                             ; |
                             ; v
+;HOLDS_ORG                  ; ------RAMSTART + $188
                             ; ^
                             ; |
 HOLD_SIZE   .equ    34      ; | grows down  (ans spec. : HOLD_SIZE >= (2*n) + 2 char, with n = 16 bits/cell
@@ -291,7 +294,8 @@ PSTACK      .equ    LSTACK+(LSTACK_SIZE*2)+(PSTACK_SIZE*2)
 RSTACK      .equ    PSTACK+(RSTACK_SIZE*2)
 PAD_ORG     .equ    RSTACK+2
 TIB_ORG     .equ    PAD_ORG+PAD_LEN
-BASE_HOLD   .equ    TIB_ORG+TIB_LEN+HOLD_SIZE
+HOLDS_ORG   .equ    TIB_ORG+TIB_LEN
+BASE_HOLD   .equ    HOLDS_ORG+HOLD_SIZE
 
 
 ; ----------------------------------
@@ -450,7 +454,7 @@ SAVEtsPTR       .word 0     ; of previous ACCEPT
 ;           =-1 : LOAD"ed file (source file)
 
 ; offset values
-HDLW_PrevHDL    .equ 0  ; previous handle ; used by LOAD"
+HDLW_PrevHDL    .equ 0  ; previous handle
 HDLB_Token      .equ 2  ; token
 HDLB_ClustOfst  .equ 3  ; Current sector offset in current cluster (Byte)
 HDLL_DIRsect    .equ 4  ; Dir SectorL
@@ -465,7 +469,10 @@ HDLH_CurSize    .equ 20 ; written size / not yet read size (Long)
 HDLW_BUFofst    .equ 22 ; BUFFER offset ; used by LOAD"
 
 
-    .IFDEF RAM_1K ; RAM_Size  = 1k, no SDIB due to the lack of RAM
+    .IFDEF RAM_1K ; RAM_Size = 1k: due to the lack of RAM PAD is SDIB and LEAVE stack is LOAD stack
+
+SDIB    .equ PAD_ORG
+LOADPTR .equ LEAVEPTR
 FirstHandle
 HandleMax       .equ 7
 HandleLenght    .equ 24
@@ -473,13 +480,22 @@ HandleEnd       .equ FirstHandle+handleMax*HandleLenght
 
                 .org HandleEnd
 
-    .ELSEIF     ; RAM_Size >= 2k
+    .ELSEIF     ; RAM_Size > 1k
+
 FirstHandle
 HandleMax       .equ 8
 HandleLenght    .equ 24
 HandleEnd       .equ FirstHandle+handleMax*HandleLenght
 
                 .org HandleEnd
+
+LOAD_STACK      .equ HandleEnd
+LOADPTR         .equ LOAD_STACK
+LOADSTACK_SIZE  .equ HandleMax+1    ; make room for LOADPTR and for 8 cells LOADSTACK
+LoadStackEnd    .equ LOAD_STACK+LOADSTACK_SIZE*2
+
+
+                .org LoadStackEnd
 SDIB
 SDIB_LEN .equ 84
 
@@ -504,8 +520,9 @@ SD_END_DATA ; used by SD_INIT to init SD_ram area
 ;-------------------------------------------------------------------------------
 ; very nice FAST FORTH added feature:
 ;-------------------------------------------------------------------------------
-; as IP is computed from the PC value, we can place low level to high level
-; switches "COLON" or "LO2HI" anywhere in a word, i.e. not only at its beginning.
+; as IP is always computed from the PC value, we can place low level to high level
+; switches "COLON" or "LO2HI" anywhere in a word, i.e. not only at its beginning
+; as ITC competitors.
 ;-------------------------------------------------------------------------------
 
 RSP         .reg    R1      ; RSP = Return Stack Pointer (return stack)
@@ -658,6 +675,7 @@ xdodoes   ; -- a-addr       ; 4 for CALL rDODOES
 
 ;https://forth-standard.org/standard/core/EXIT
 ;C EXIT     --      exit a colon definition; CALL #EXIT performs ASMtoFORTH (10 cycles)
+;                                            JMP #EXIT performs EXIT
             FORTHWORD "EXIT"
 EXIT:       MOV     @RSP+,IP    ; 2 pop previous IP (or next PC) from return stack
             MOV     @IP+,PC     ; 4 = NEXT
@@ -1057,13 +1075,6 @@ FBLANK:      mDOCON
         .ENDIF ; PORTABILITY
 
 ;-------------------------------------------------------------------------------
-; ARITHMETIC OPERATORS OPTION
-;-------------------------------------------------------------------------------
-        .IFDEF ARITHMETIC ; included in ANS_COMPLEMENT
-        .include "ADDON\ARITHMETIC.asm"
-        .ENDIF ; ARITHMETIC
-
-;-------------------------------------------------------------------------------
 ; DOUBLE OPERATORS OPTION
 ;-------------------------------------------------------------------------------
         .IFDEF DOUBLE ; included in ANS_COMPLEMENT
@@ -1073,6 +1084,13 @@ FBLANK:      mDOCON
     .ENDIF ; ANS_COMPLEMENT
 
 ;-------------------------------------------------------------------------------
+; ARITHMETIC OPERATORS OPTION
+;-------------------------------------------------------------------------------
+        .IFDEF ARITHMETIC ; included in ANS_COMPLEMENT
+        .include "ADDON\ARITHMETIC.asm"
+        .ENDIF ; ARITHMETIC
+
+;-------------------------------------------------------------------------------
 ; NUMERIC OUTPUT
 ;-------------------------------------------------------------------------------
 
@@ -1086,47 +1104,116 @@ LESSNUM:    MOV     #BASE_HOLD,&HP
             mNEXT
 
 
-; unsigned 32-BIT DIVIDEND : 16-BIT DIVISOR --> 32-BIT QUOTIENT, 16-BIT REMAINDER
-; DVDhi|DVDlo : DIVlo --> QUOThi|QUOTlo REMlo
-; then REMlo is converted in ASCII char
-; 2 times faster if DVDhi = 0 (it's the general case)
-
-; reg     division        NUM
-; -----------------------------
-; S     = DVDlo (15-0)  = ud1lo
-; TOS   = DVDhi (31-16) = ud1hi
-; T     = DIVlo         = BASE
-; W     = REMlo         = digit --> char --> -[HP]
-; X     = QUOTlo        = ud2lo
-; Y     = QUOThi        = ud2hi
-; rDODOES = count
-
-;https://forth-standard.org/standard/core/num
-;C #     ud1lo ud1hi -- ud2lo ud2hi          convert 1 digit of output
-            FORTHWORD "#"
-NUM         MOV.B   &BASE,T     ;3  T = DIVlo 
-NUM1        CMP     #0,TOS      ;1  DVDhi <> 0 ?
-NUM2        MOV     @PSP,S      ;2  S = DVDlo, TOS = DVDhi
+;; unsigned 32-BIT DIVIDEND : 16-BIT DIVISOR --> 32-BIT QUOTIENT, 16-BIT REMAINDER
+;; DVDhi|DVDlo : DIVlo --> QUOThi|QUOTlo REMlo
+;; then REMlo is converted in ASCII char
+;; 2 times faster if DVDhi = 0 (it's the general case)
+;; MU/MOD
+;; reg     division        NUM
+;; -----------------------------
+;; S     = DVDlo (15-0)  = ud1lo
+;; TOS   = DVDhi (31-16) = ud1hi
+;; T     = DIVlo         = BASE
+;; W     = REMlo         = digit --> char --> -[HP]
+;; X     = QUOTlo        = ud2lo
+;; Y     = QUOThi        = ud2hi
+;; rDODOES = count
+;
+;;https://forth-standard.org/standard/core/num
+;;C #     ud1lo ud1hi -- ud2lo ud2hi          convert 1 digit of output
+;            FORTHWORD "#"
+;NUM         MOV.B   &BASE,T     ;3  T = DIVlo 
+;NUM1        CMP     #0,TOS      ;1  DVDhi <> 0 ?
+;NUM2        MOV     @PSP,S      ;2  S = DVDlo, TOS = DVDhi
+;            MOV     #0,W        ;1  W = REMlo = 0
+;            MOV     #32,rDODOES ;2  init loop count
+;            JNZ     MDIV        ;2  yes
+;            RRA     rDODOES     ;1  no: loop count / 2
+;            MOV     S,TOS       ;1      DVDhi <-- DVDlo
+;            MOV     #0,S        ;1      DVDlo <-- 0
+;            MOV     #0,X        ;1      QUOTlo <-- 0 (to do QUOThi = 0 at the end of division)
+;MDIV1       CMP     T,W         ;1  REMlo U>= DIVlo ?
+;            JNC     MDIV2       ;2  no : carry is reset
+;            SUB     T,W         ;1  yes: REMlo - DIVlo ; carry is set after soustraction!
+;MDIV2       ADDC    X,X         ;1  RLC quotLO
+;            ADDC    Y,Y         ;1  RLC quotHI
+;            SUB     #1,rDODOES  ;1  Decrement loop counter
+;            JN      ENDMDIV     ;2
+;            ADD     S,S         ;1  RLA DVDlo
+;            ADDC    TOS,TOS     ;1  RLC DVDhi
+;            ADDC    W,W         ;1  RLC REMlo
+;            JNC     MDIV1       ;2
+;            SUB     T,W         ;1  REMlo - DIVlo
+;            BIS     #1,SR       ;1  SETC
+;            JMP     MDIV2       ;2   
+;ENDMDIV     MOV #xdodoes,rDODOES;2  restore rDODOES
+;            MOV     X,0(PSP)    ;3  QUOTlo in 0(PSP)
+;            MOV     Y,TOS       ;1  QUOThi in TOS
+;TODIGIT     CMP.B   #10,W       ;2  W = REMlo
+;            JLO     TODIGIT1    ;2  U<
+;            ADD     #7,W        ;2
+;TODIGIT1    ADD     #30h,W      ;2
+;HOLDW       SUB     #1,&HP      ;3  store W=char --> -[HP]
+;            MOV     &HP,Y       ;3
+;            MOV.B   W,0(Y)      ;3
+;            mNEXT               ;4  41 words, about 214/394 cycles/char
+;
+;;https://forth-standard.org/standard/core/numS
+;;C #S    udlo:udhi -- udlo:udhi=0       convert remaining digits
+;            FORTHWORD "#S"
+;NUMS:       mDOCOL
+;            .word   NUM         ;
+;            FORTHtoASM          ;
+;            SUB     #2,IP       ;1      restore NUM return
+;            CMP     #0,X        ;1      test ud2lo first (generally true)
+;            JNZ     NUM1        ;2
+;            CMP     #0,TOS      ;1      then test ud2hi (generally false)
+;            JNZ     NUM2        ;2
+;            MOV     @RSP+,IP    ;2
+;            mNEXT               ;4 about 215/397 cycles/char
+
+; MU/MOD        DVDlo DVDhi DIVlo -- REMlo QUOTlo QUOThi
+;            FORTHWORD "MU/MOD"
+            ASMWORD "MU/MOD"    ; for ANS_COMP use
+MUSMOD      MOV     TOS,T       ;1 T = DIVlo
+            MOV     @PSP,TOS    ;2 TOS = DVDhi
+            MOV     2(PSP),S    ;3 S = DVDlo
+MUSMOD1     CMP     #0,TOS      ;1  DVDhi=0 ?
             MOV     #0,W        ;1  W = REMlo = 0
             MOV     #32,rDODOES ;2  init loop count
-            JNZ     MDIV        ;2  yes
-            RRA     rDODOES     ;1  no: loop count / 2
+            JNZ     MDIV1       ;2  no
+            RRA     rDODOES     ;1  yes:loop count / 2
             MOV     S,TOS       ;1      DVDhi <-- DVDlo
             MOV     #0,S        ;1      DVDlo <-- 0
             MOV     #0,X        ;1      QUOTlo <-- 0 (to do QUOThi = 0 at the end of division)
-MDIV        ADD     S,S         ;1  RLA DVDlo
-            ADDC    TOS,TOS     ;1  RLC DVDhi
-            ADDC    W,W         ;1  RLC REMlo
-            CMP     T,W         ;1  REMlo U>= DIVlo ?
-            JNC     MDIV1       ;2  no : carry is reset
+MDIV1       CMP     T,W         ;1  REMlo U>= DIVlo ?
+            JNC     MDIV2       ;2  no : carry is reset
             SUB     T,W         ;1  yes: REMlo - DIVlo ; carry is set after soustraction!
-MDIV1       ADDC    X,X         ;1  RLC quotLO
+MDIV2       ADDC    X,X         ;1  RLC quotLO
             ADDC    Y,Y         ;1  RLC quotHI
             SUB     #1,rDODOES  ;1  Decrement loop counter
-            JNZ     MDIV        ;2  (12+10)/2 = 11 cycles loop
+            JN      ENDMDIV     ;2
+            ADD     S,S         ;1  RLA DVDlo
+            ADDC    TOS,TOS     ;1  RLC DVDhi
+            ADDC    W,W         ;1  RLC REMlo
+            JNC     MDIV1       ;2
+            SUB     T,W         ;1  REMlo - DIVlo
+            BIS     #1,SR       ;1  SETC
+            JMP     MDIV2       ;2   
 ENDMDIV     MOV #xdodoes,rDODOES;2  restore rDODOES
+            MOV     W,2(PSP)    ;3  REMlo in 2(PSP)
             MOV     X,0(PSP)    ;3  QUOTlo in 0(PSP)
             MOV     Y,TOS       ;1  QUOThi in TOS
+            RET                 ;4  35 words, about 252/473 cycles, not FORTH executable !
+
+;https://forth-standard.org/standard/core/num
+;C #     ud1lo ud1hi -- ud2lo ud2hi          convert 1 digit of output
+            FORTHWORD "#"
+NUM         MOV     &BASE,T     ;3                      T = Divisor 
+NUM1        MOV     @PSP,S      ;2 -- DVDlo DVDhi       S = DVDlo
+            SUB     #2,PSP      ;1 -- DVDlo x DVDhi     TOS = DVDhi
+            CALL    #MUSMOD1    ;4 -- REMlo QUOTlo QUOThi
+            MOV     @PSP+,0(PSP);4 -- QUOTlo QUOThi  
 TODIGIT     CMP.B   #10,W       ;2  W = REMlo
             JLO     TODIGIT1    ;2  U<
             ADD     #7,W        ;2
@@ -1134,21 +1221,22 @@ TODIGIT1    ADD     #30h,W      ;2
 HOLDW       SUB     #1,&HP      ;3  store W=char --> -[HP]
             MOV     &HP,Y       ;3
             MOV.B   W,0(Y)      ;3
-            mNEXT               ;4  41 words, about 214/394 cycles/char
+            mNEXT               ;4  26 words, about 240/413 cycles/char
 
 ;https://forth-standard.org/standard/core/numS
 ;C #S    udlo:udhi -- udlo:udhi=0       convert remaining digits
             FORTHWORD "#S"
 NUMS:       mDOCOL
-            .word   NUM         ;
+            .word   NUM         ;       X=QUOTlo
             FORTHtoASM          ;
             SUB     #2,IP       ;1      restore NUM return
             CMP     #0,X        ;1      test ud2lo first (generally true)
             JNZ     NUM1        ;2
             CMP     #0,TOS      ;1      then test ud2hi (generally false)
-            JNZ     NUM2        ;2
+            JNZ     NUM1        ;2
             MOV     @RSP+,IP    ;2
-            mNEXT               ;4 about 215/397 cycles/char
+            mNEXT               ;4 10 words, about 241/417 cycles/char
+
 
 ;https://forth-standard.org/standard/core/num-end
 ;C #>    udlo:udhi=0 -- c-addr u    end conversion, get string
@@ -1261,17 +1349,16 @@ KEY:        MOV     #PARENKEY,PC
 
     .IFDEF SD_CARD_LOADER
     .include "forthMSP430FR_SD_ACCEPT.asm"  ; that creates SD_ACCEPT
-    .ENDIF ; SD_CARD_LOADER
 
+    .ELSE
 
 ;https://forth-standard.org/standard/core/ACCEPT
 ;C ACCEPT  addr addr len -- addr' len'  get line at addr to interpret len' chars
             FORTHWORD "ACCEPT"
-ACCEPT      MOV     #PARENACCEPT,PC
+ACCEPT
+
+    .ENDIF ; SD_CARD_LOADER
 
-;C (ACCEPT)  addr addr len -- addr len'     get len' (up to len) chars from terminal (TERATERM.EXE) via USBtoUART bridge
-            FORTHWORD "(ACCEPT)"
-PARENACCEPT
 
 ; con speed of TERMINAL link, there are three bottlenecks :
 ; 1- time to send XOFF/RTS_high on CR (CR+LF=EOL), first emergency.
@@ -1283,7 +1370,6 @@ PARENACCEPT
 ; --------------------------------------;
             MOV     #ENDACCEPT,S        ;2              S = ACCEPT XOFF return
             MOV     #AKEYREAD1,T        ;2              T = default XON return
-;            .word   1537h               ;6              in advance, we can also save R7 to R4
             .word   152Dh               ;5              PUSHM IP,S,T, as IP ret, XOFF ret, XON ret
             MOV     TOS,W               ;1 -- addr len
             MOV     @PSP,TOS            ;2 -- org ptr                                             )
@@ -1458,7 +1544,6 @@ ENDACCEPT                               ; <--- XOFF return address
 ; --------------------------------------;
             MOV     #LPM0+GIE,&LPM_MODE ; reset LPM_MODE to default mode LPM0 for next line of input stream
 DROPEXIT
-;            .word   1734h               ;6              we can also restore R7 to R4
             SUB     @PSP+,TOS           ; Org Ptr -- len'
             MOV     @RSP+,IP            ; 2 and continue with INTERPRET with GIE=0.
                                         ; So FORTH machine is protected against any interrupt...
@@ -1684,7 +1769,6 @@ EOL_END     MOV     &DDP,TOS        ;3 -- c-addr
 ; VOCLOOP           : 12/18 cycles,
 ; WORDFOUND to end  : 21 cycles.
 ; note: with 16 threads vocabularies, FIND takes about 75% of CORETEST.4th processing time
-
             FORTHWORD "FIND"
 FIND:       SUB     #2,PSP          ;1 -- ???? c-addr       reserve one cell here, not at FINDEND because interacts with flag Z
             MOV     TOS,S           ;1                      S=c-addr
@@ -1741,7 +1825,6 @@ TWODROP     ADD     #2,PSP
 ;https://forth-standard.org/standard/core/toNUMBER
 ;C  convert a string to double number until count2 = 0 or until not convertible char
 ;C >NUMBER  ud1lo|ud1hi addr1 count1 -- ud2lo|ud2hi addr2 count2
-
             FORTHWORD ">NUMBER"     ; 23 cycles + 32/34 cycles DEC/HEX char loop
 TONUMBER:   MOV     @PSP+,S         ;2                          S = adr
             MOV     @PSP+,Y         ;2                          Y = ud1hi
@@ -1749,12 +1832,14 @@ TONUMBER:   MOV     @PSP+,S         ;2                          S = adr
             SUB     #4,PSP          ;1
             MOV     &BASE,T         ;3
 TONUMLOOP   MOV.B   @S,W            ;2 -- ud1lo ud1hi adr count W=char
-DDIGITQ     SUB.B   #30h,W          ;2                          skip all chars < '0'
-            CMP.B   #10,W           ;2                          char was > "9" ?
+DDIGITQ     SUB.B   #30h,W          ;2                          skip all chars < '0' 
+            CMP.B   #10,W           ;2                          char was U< "10" ?
             JLO     DDIGITQNEXT     ;2                          no
             SUB.B   #7,W            ;2                          skip all chars between "9" and "A"
+            CMP.B   #10,W           ;2
+            JLO     TONUMEND        ;2
 DDIGITQNEXT CMP     T,W             ;1                          digit-base
-            JHS     TONUMEND        ;2 -- ud1lo ud1hi adr count abort
+            JHS     TONUMEND        ;2 -- ud1lo ud1hi adr count abort if < 0 or >= base
             MOV     X,&MPY32L       ;3                          Load 1st operand (ud1lo)
             MOV     Y,&MPY32H       ;3                          Load 1st operand (ud1hi)
             MOV     T,&OP2          ;3                          Load 2nd operand with BASE
@@ -1762,87 +1847,145 @@ DDIGITQNEXT CMP     T,W             ;1                          digit-base
             MOV     &RES1,Y         ;3                          hi result in Y (ud2hi)
             ADD     W,X             ;1                          ud2lo + digit
             ADDC    #0,Y            ;1                          ud2hi + carry
-            ADD     #1,S            ;1 -- ud1lo ud1hi adr count S=adr+1
+TONUMPLUS   ADD     #1,S            ;1 -- ud1lo ud1hi adr count S=adr+1
             SUB     #1,TOS          ;1 -- ud1lo ud1hi adr count-1
             JNZ     TONUMLOOP       ;2                          if count <>0
-            MOV     X,4(PSP)        ;3 -- ud2lo ud1hi adr count2
             MOV     Y,2(PSP)        ;3 -- ud2lo ud2hi adr count2
 TONUMEND    MOV     S,0(PSP)        ;3 -- ud2lo ud2hi addr2 count2
+            MOV     X,4(PSP)        ;3 -- ud2lo ud1hi adr count2
             mNEXT                   ;4 38 words
 
 
+; ?NUMBER makes the interface between >NUMBER and INTERPRET; it's a subset of INTERPRET.
 ; convert a string to a signed number; FORTH 2012 prefixes $, %, # are recognized
 ; 32 bits numbers (with decimal point) are recognized
+; fixed point signed numbers (with a comma) are recognised.
+; prefixes # % $ - are processed before calling >NUMBER, decimal point and comma are processed as >NUMBER exits
 ;Z ?NUMBER  c-addr -- n -1      if convert ok ; flag Z=0
 ;Z          c-addr -- c-addr 0  if convert ko ; flag Z=1
-
-;            FORTHWORD "?NUMBER"
-QNUMBER:    PUSH    #0              ;3 -- c-addr
-            PUSH    IP              ;3
-            MOV     &BASE,T         ;3          T=BASE
-            PUSH    T               ;3          R-- sign IP base
-; ----------------------------------;
-; decimal point process add-on      ;
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
-            BIC     #UF9,SR         ;2          reset flag UF9 used here as Decimal Point flag
-            MOV.B   @TOS,IP         ;2          IP = count of chars
-            ADD     TOS,IP          ;1          IP = end address
-            MOV     TOS,S           ;1          S = ptr
-            MOV.B   #'.',W          ;2          W = '.' = Decimal Point DP
-SearchDP    CMP     S,IP            ;1          IP U< S ?
-            JLO     SearchDPEND     ;2
-            CMP.B   @S+,W           ;2          DP found ?
-            JNE     SearchDP        ;2          7~ loop by char
-DPfound     BIS     #UF9,SR         ;2          DP found: set flag UF9
-DPrubLoop   MOV.B   @S+,-2(S)       ;4          rub out decimal point
-            CMP     S,IP            ;1          and move left one all susbsequent chars
-            JHS     DPrubLoop       ;2          7~ loop by char
-            SUB.B   #1,0(TOS)       ;3          and decrement count of chars
-SearchDPEND                         ;
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
-            MOV     #0,X            ;1                      X=ud1lo
-            MOV     #0,Y            ;1                      Y=ud1hi
-            MOV     #QNUMNEXT,IP    ;2                      return from >NUMBER
-            SUB     #8,PSP          ;1 -- x x x x c-addr
+QNUMBER:    MOV     #0,S            ;1
+            MOV     &BASE,T         ;3                          T=BASE
+            BIC     #UF9,SR         ;2                          reset flag UF9, as decimal point flag
+            .word   152Dh           ;5                          R-- IP sign base
+            MOV     #0,X            ;1                          X=ud1lo
+            MOV     #0,Y            ;1                          Y=ud1hi
+            MOV     #QNUMNEXT,IP    ;2                          return from >NUMBER
+            SUB     #8,PSP          ;1 -- x x x x c-addr        save TOS and make room for >NUMBER
             MOV     TOS,6(PSP)      ;3 -- c-addr x x x c-addr
-            MOV     TOS,S           ;1                      S=addrr
-            MOV.B   @S+,TOS         ;2 -- c-addr x x x cnt
-            MOV.B   @S,W            ;2                      W=char
-            CMP.B   #'-',W          ;2
-            JHS     QSIGN           ;2                      speed up for not prefixed numbers
-QHEXA       MOV     #16,T           ;2                      BASE = 16
-            SUB.B   #'$',W          ;2                      = 0 ==> "$" : hex number ?
+            MOV     TOS,S           ;1                          S=addrr
+            MOV.B   @S+,TOS         ;2 -- c-addr x x x cnt      TOS=count
+            MOV.B   @S,W            ;2                          W=char
+            SUB.B   #',',W          ;2
+            JHS     QSIGN           ;2                          for current base, and for ',' or '.' process
+            SUB.B   #1,W            ;1
+QBINARY     MOV     #2,T            ;3                              preset base 2
+            ADD.B   #8,W            ;1                          '%' + 8 = '-'   binary number ?
             JZ      PREFIXED        ;2
-QBINARY     MOV     #2,T            ;1                      BASE = 2
-            SUB.B   #1,W            ;1                      "%" - "$" - 1 = 0 ==> '%' : bin number ?
+QDECIMAL    ADD     #8,T            ;4
+            ADD.B   #2,W            ;1                          '#' + 2 = '%'   decimal number ?
             JZ      PREFIXED        ;2
-QDECIMAL    ADD     #8,T            ;1                      BASE = 10
-            ADD.B   #2,W            ;1                      "#" - "%" + 2 = 0 ==> '#' : decimal number ?
-            JNZ     TONUMLOOP       ;2                      if no the conversion return will be ko
-PREFIXED    ADD     #1,S            ;1                          addr+1 to skip prefix
-            SUB     #1,TOS          ;1 -- c-addr x x x cnt-1
-            MOV.B   @S,W            ;2                      W=2th char, S=adr
-            CMP.B   #'-',W          ;2
-QSIGN       JNZ     TONUMLOOP       ;15 + 32/34 cycles DEC/HEX char loop
-QSIGNYES    ADD     #1,S            ;1                          addr+1 to skip "-"
-            SUB     #1,TOS          ;1 -- c-addr x x x cnt-1
-            MOV     #-1,4(RSP)      ;3                      R-- sign IP BASE
-            JMP     TONUMLOOP       ;15 + 32/34 cycles DEC/HEX char loop
+QHEXA       MOV     #16,T           ;4
+            SUB.B   #1,W            ;2                          '$' - 1 = '#'   hex number ?
+            JNZ     TONUMLOOP       ;2 -- c-addr ud=0 x x       other cases will cause error
+PREFIXED    ADD     #1,S            ;1
+            SUB     #1,TOS          ;1 -- c-addr ud=0 x count   S=adr+1 TOS=count-1
+            MOV.B   @S,W            ;2                          X=2th char, W=adr
+            SUB.B   #',',W          ;2
+QSIGN       CMP.B   #1,W            ;1
+            JNZ     TONUMLOOP       ;2                          for positive number and for , or . process
+            MOV     #-1,2(RSP)      ;3                          R-- IP sign base
+            JMP     TONUMPLUS       ;2
+; ----------------------------------; 39
+QNUMNEXT    FORTHtoASM              ;  -- c-addr ud2lo-hi addr2 cnt2
+            CMP     #0,TOS          ;1 -- c-addr ud2lo-hi addr2 cnt2    cnt2=0 ? conversion is ok ?
+            JZ      QNUMNEXT1       ;2                                  yes
+; ----------------------------------;  -- c-addr ud2lo-hi addr2 cnt2 R-- IP sign BASE    S=addr2
+            BIS     #UF9,SR         ;2                               set double number flag
+; ----------------------------------;  -- c-addr ud2lo-hi addr2 cnt2 R-- IP sign BASE    S=addr2
+QNUMDP      CMP.B   #'.',0(S)       ;4                               rejected char by >NUMBER = decimal point ?
+            JNZ     QS15Q16         ;2                               no
 ; ----------------------------------;
-
+QNUMDPFOUND ;BIS     #UF9,SR         ;2                               yes:set double number flag
+            SUB     #2,IP           ;1                                   set >NUMBER return address
+            JMP     TONUMPLUS       ;2                                   to terminate conversion
 ; ----------------------------------;
-QNUMNEXT    FORTHtoASM              ;  -- c-addr ud2lo ud2hi addr2 count2
-            ADD     #2,PSP          ;1
-            CMP     #0,TOS          ;1 -- c-addr ud2lo ud2hi cnt2  n=0 ? conversion is ok ?
-            .word   0172Ch          ;4 -- c-addr ud2lo ud2hi sign  POPM S,IP,TOS; TOS = sign flag = {-1;0}
-            MOV     S,&BASE         ;3
-            JZ      QNUMOK          ;2 -- c-addr ud2lo ud2hi sign  conversion OK
-QNUMKO      ADD     #4,PSP          ;1 -- c-addr sign
-            AND     #0,TOS          ;1 -- c-addr ff         TOS=0 and Z=1 ==> conversion ko
-            mNEXT                   ;4 69
+QS15Q16     CMP.B   #',',0(S)       ;5                               rejected char by >NUMBER is a comma ?
+            JNZ     QNUMNEXT1       ;2                               no
 ; ----------------------------------;
-QNUMOK      MOV     2(PSP),4(PSP)   ;  -- udlo udlo udhi sign
-            MOV     @PSP+,0(PSP)    ;4 -- udlo udhi sign          note : PSP is incremented before write back !!!
+S15Q16      ;BIS     #UF9,SR         ;2                              set double number flag
+            MOV     TOS,W           ;1 -- c-addr ud2lo x x x         W=cnt2
+;            MOV     #0,0(PSP)       ;3 -- c-addr ud2lo x 0 x         init ud2lo' = 0
+;S15Q16LOOP  MOV     @PSP,2(PSP)     ;4 -- c-addr ud2lo ud2lo' ud2lo' x  X = 0(PSP) = ud2lo'   
+            MOV     #0,X            ;1 -- c-addr ud2lo x 0 x         init ud2lo' = 0
+S15Q16LOOP  MOV     X,2(PSP)        ;3 -- c-addr ud2lo ud2lo' ud2lo' x  X = 0(PSP) = ud2lo'   
+            SUB.B   #1,W            ;1                                  decrement cnt2
+            MOV     W,X             ;1                                  X = cnt2-1
+            ADD     S,X             ;1                                  X = end_of_string-1, first...
+            MOV.B   @X,X            ;2                                  X = last char of string, first...
+            SUB     #30h,X          ;2                                  char --> digit conversion
+            CMP.B   #10,X           ;2
+            JLO     QS15Q16DIGI     ;2
+            SUB.B   #7,X            ;2
+            CMP.B   #10,X           ;2
+            JLO     S15Q16EOC       ;2
+QS15Q16DIGI CMP     T,X             ;1                                  R-- IP sign BASE    is X a digit ?
+            JHS     S15Q16EOC       ;2 -- c-addr ud2lo ud2lo' x ud2lo'  if no
+            MOV     X,0(PSP)        ;3 -- c-addr ud2lo ud2lo' digit x 
+            MOV     T,TOS           ;1 -- c-addr ud2lo ud2lo' digit     base R-- IP sign base
+            .word   152Ch           ;6                                  PUSH S,T,W: R-- IP sign base addr2 base cnt2     
+            CALL    #MUSMOD         ;4 -- c-addr ud2lo ur uqlo uqhi
+            .word   172Ah           ;6                                  restore W,T,S: R-- IP sign BASE     
+            JMP     S15Q16LOOP      ;2                                  W=cnt
+S15Q16EOC   MOV     4(PSP),2(PSP)   ;5 -- c-addr ud2lo ud2hi uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S15 part2
+            MOV     @PSP,4(PSP)     ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
+            MOV     W,TOS           ;1 -- c-addr ud2lo ud2hi x cnt2
+            CMP.B   #0,TOS          ;1                                  TOS = 0 if end of conversion char = ',' (happy end)
+; ----------------------------------;
+;S11Q20      ;BIS     #UF9,SR         ;2                              set double number flag
+;            MOV     TOS,W           ;1 -- c-addr ud2lo x x x         W=cnt2
+;            MOV     #0,X            ;1 -- c-addr ud2lo x 0 x         init ud2lo' = 0
+;            MOV     #0,TOS          ;1 -- c-addr ud2lo x 0 0         init ud2hi' = 0
+;S11Q20LOOP  MOV     X,2(PSP)        ;3 -- c-addr ud2lo ud2lo' ud2lo' ud2hi'
+;            MOV     TOS,0(PSP)      ;3 -- c-addr ud2lo ud2lo' ud2hi' x
+;            SUB.B   #1,W            ;1                                  decrement cnt2
+;            MOV     W,X             ;1                                  X = cnt2-1
+;            ADD     S,X             ;1                                  X = end_of_string-1, first...
+;            MOV.B   @X,X            ;2                                  X = last char of string, first...
+;            SUB     #30h,X          ;2                                  char --> digit conversion
+;            CMP.B   #10,X           ;2
+;            JLO     QS11Q20DIGI     ;2
+;            SUB.B   #7,X            ;2
+;            CMP.B   #10,X           ;2
+;            JLO     S11Q20EOC       ;2
+;QS11Q20DIGI CMP     T,X             ;1                                          R-- IP sign BASE    is X a digit ?
+;            JHS     S11Q20EOC       ;2 -- c-addr ud2lo ud2lo' x ud2lo'          if char is not a valid digit ==> End Of Conversion
+;            .word   0F5Bh           ;                                           RRUM #4,X
+;            ADD     X,0(PSP)        ;3 -- c-addr ud2lo ud2lo' digit&ud2hi' x 
+;            MOV     T,TOS           ;1 -- c-addr ud2lo ud2lo' digit&ud2hi' base     R-- IP sign base
+;            .word   152Ch           ;6                                              PUSH S,T,W: R-- IP sign base addr2 base cnt2     
+;            CALL    #MUSMOD         ;4 -- c-addr ud2lo ur uqlo uqhi     X = QUOTlo
+;            .word   172Ah           ;6                                  restore W,T,S: R-- IP sign BASE     
+;            JMP     S11Q20LOOP      ;2                                  W=cnt
+;S11Q20EOC   MOV     4(PSP),X        ;
+;            .word   0F5Bh           ;                                   RRUM #4,X
+;            MOV     X,2(PSP)        ;5 -- c-addr ud2lo ud2hi uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S11 part2
+;            AND     #0Fh,TOS        ;
+;            ADD     TOS,2(PSP)
+;            MOV     @PSP,4(PSP)     ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
+;            MOV     W,TOS           ;1 -- c-addr ud2lo ud2hi x cnt2
+;            CMP.B   #0,TOS          ;1                                  if end of string is reached, happy end of conversion
+;; ----------------------------------;88
+QNUMNEXT1   .word   0172Bh          ;4 -- c-addr ud2lo-hi x cnt2        POPM T,S,IP  S = sign flag = {-1;0}
+            MOV     S,TOS           ;1 -- c-addr ud2lo-hi x sign
+            MOV     T,&BASE         ;3
+            JZ      QNUMOK          ;2 -- c-addr ud2lo-hi x sign        conversion OK
+QNUMKO      ADD     #6,PSP          ;1 -- c-addr sign
+            AND     #0,TOS          ;1 -- c-addr ff                     TOS=0 and Z=1 ==> conversion ko
+            mNEXT                   ;4 
+; ----------------------------------;97
+QNUMOK      ADD     #2,PSP          ;1 -- c-addr ud2lo-hi cnt2 
+            MOV     2(PSP),4(PSP)   ;  -- udlo udlo udhi sign
+            MOV     @PSP+,0(PSP)    ;4 -- udlo udhi sign              note : PSP is incremented before write back !!!
             XOR     #-1,TOS         ;1 -- udlo udhi inv(sign)
             JNZ     QDOUBLE         ;2                      if jump : TOS=-1 and Z=0 ==> conversion ok
 Q2NEGATE    XOR     #-1,TOS         ;1 -- udlo udhi tf
@@ -1850,11 +1993,12 @@ Q2NEGATE    XOR     #-1,TOS         ;1 -- udlo udhi tf
             XOR     #-1,0(PSP)      ;3 -- dlo-1 udhi tf
             ADD     #1,2(PSP)       ;3 -- dlo dhi-1 tf
             ADDC    #0,0(PSP)       ;3 -- dlo dhi tf
-QDOUBLE     BIT     #UF9,SR         ;2      decimal point added ?
-            JNZ     QNUMEND         ;2      leave double
-            ADD     #2,PSP          ;1      leave number
-QNUMEND    mNEXT                    ;4 90 words             TOS=-1 and Z=0 ==> conversion ok
-; ----------------------------------;
+QDOUBLE     BIT     #UF9,SR         ;2                      decimal point added ?
+            JNZ     QNUMEND         ;2                      leave double
+            ADD     #2,PSP          ;1                      leave number
+QNUMEND    mNEXT                    ;4                      TOS=-1 and Z=0 ==> conversion ok
+; ----------------------------------;119 words 
+
 
     .ELSE ; no hardware MPY
 
@@ -1864,145 +2008,172 @@ QNUMEND    mNEXT                    ;4 90 words             TOS=-1 and Z=0 ==> c
 ;C UM*     u1 u2 -- ud   unsigned 16x16->32 mult.
             FORTHWORD "UM*"
 UMSTAR:     MOV @PSP,S      ;2 MDlo
-            MOV #0,W        ;1 MDhi=0
-            MOV #0,Y        ;1 RES0=0
-            MOV #0,T        ;1 RES1=0
-            MOV #1,X        ;1 BIT TEST REGISTER
-UMSTARLOOP  BIT X,TOS       ;1 TEST ACTUAL BIT MRlo
+UMSTAR1     MOV #0,T        ;1 MDhi=0
+            MOV #0,X        ;1 RES0=0
+            MOV #0,Y        ;1 RES1=0
+            MOV #1,W        ;1 BIT TEST REGISTER
+UMSTARLOOP  BIT W,TOS       ;1 TEST ACTUAL BIT MRlo
             JZ UMSTARNEXT   ;2 IF 0: DO NOTHING
-            ADD S,Y         ;1 IF 1: ADD MDlo TO RES0
-            ADDC W,T        ;1      ADDC MDhi TO RES1
+            ADD S,X         ;1 IF 1: ADD MDlo TO RES0
+            ADDC T,Y        ;1      ADDC MDhi TO RES1
 UMSTARNEXT  ADD S,S         ;1 (RLA LSBs) MDlo x 2
-            ADDC W,W        ;1 (RLC MSBs) MDhi x2
-            ADD X,X         ;1 (RLA) NEXT BIT TO TEST
+            ADDC T,T        ;1 (RLC MSBs) MDhi x 2
+            ADD W,W         ;1 (RLA) NEXT BIT TO TEST
             JNC UMSTARLOOP  ;2 IF BIT IN CARRY: FINISHED    10~ loop
-            MOV Y,0(PSP)    ;3 low result on stack
-            MOV T,TOS       ;1 high result in TOS
-            mNEXT
+            MOV X,0(PSP)    ;3 low result on stack
+            MOV Y,TOS       ;1 high result in TOS
+            mNEXT           ;4 17 words
 
 ;https://forth-standard.org/standard/core/toNUMBER
 ;C  convert a string to double number until count2 = 0 or until not convertible char
 ;C >NUMBER  ud1lo|ud1hi addr1 count1 -- ud2lo|ud2hi addr2 count2
 
             FORTHWORD ">NUMBER"
-TONUMBER:   MOV     @PSP,S          ; -- ud1lo ud1hi adr count
-            MOV.B   @S,S            ; -- ud1lo ud1hi adr count      S=char
-DDIGITQ     SUB.B   #30h,S          ;2                          skip all chars < '0'
-            CMP.B   #10,S           ;                               char was > "9" ?
-            JLO     DDIGITQNEXT     ; -- ud1lo ud1hi adr count      no
-            SUB.B   #07h,S          ;                                   S=digit
-DDIGITQNEXT CMP     &BASE,S         ; -- ud1lo ud1hi adr count          digit-base
+TONUMBER:   MOV     @PSP,S          ;                           S=adr
+            MOV     TOS,T           ;                           T=count
+TONUMLOOP   MOV.B   @S,X            ; -- ud1lo ud1hi x x        X=char
+DDIGITQ     SUB.B   #30h,X          ;2                          skip all chars < '0'
+            CMP.B   #10,X           ;                           char was > "9" ?
+            JLO     DDIGITQNEXT     ; -- ud1lo ud1hi x x        no
+            SUB.B   #07,X           ;2                          skip all chars between "9" and "A"
+            CMP.B   #10,X           ;2
+            JLO     TONUMEND        ;2
+DDIGITQNEXT CMP     &BASE,X         ; -- ud1lo ud1hi x x        digit-base
             JHS     TONUMEND        ; U>=
-UDSTAR      .word   152Eh           ; -- ud1lo ud1hi adr count          PUSHM TOS,IP,S (2+1 push,TOS=Eh)
-            SUB     #2,PSP          ; -- ud1lo ud1hi adr x count
-            MOV     4(PSP),0(PSP)   ; -- ud1lo ud1hi adr ud1hi count
-            MOV     &BASE,TOS       ; -- ud1lo ud1hi adr ud1hi u2=base
+UDSTAR      .word   154Dh           ; -- ud1lo ud1hi x x        R-- IP adr count x digit        PSUHM IP,S,T,W,X
+            MOV     2(PSP),S        ; -- ud1lo ud1hi x x        S=ud1hi
+            MOV     &BASE,TOS       ; -- ud1lo ud1hi x base
             MOV     #UMSTARNEXT1,IP ;
-UMSTAR1     JMP     UMSTAR          ; ud1hi * base ; UMSTAR use S,T,W,X,Y
-UMSTARNEXT1 FORTHtoASM              ; -- ud1lo ud1hi adr ud3lo ud3hi
-            PUSH    @PSP            ;                                   r-- count ud3lo
-            MOV     6(PSP),0(PSP)   ; -- ud1lo ud1hi adr ud1lo ud3hi
-            MOV     &BASE,TOS       ; -- ud1lo ud1hi adr ud1lo u=base
+UMSTARONE   JMP     UMSTAR1         ; ud1hi * base -- x ud3hi   X=ud3lo
+UMSTARNEXT1 FORTHtoASM              ; -- ud1lo ud1hi x ud3hi     
+            MOV     X,2(RSP)        ;                           R-- IP adr count ud3lo digit
+            MOV     4(PSP),S        ; -- ud1lo ud1hi x ud3hi    S=ud1lo
+            MOV     &BASE,TOS       ; -- ud1lo ud1hi base
             MOV     #UMSTARNEXT2,IP ;
-UMSTAR2     JMP     UMSTAR          ; ud1lo * base ; UMSTAR use S,T,W,X,Y, and S is free for use
-UMSTARNEXT2 FORTHtoASM              ; -- ud1lo ud1hi adr ud2lo ud2hi    r-- count IP digit ud3lo
-            ADD     @RSP+,TOS       ; -- ud1lo ud1hi adr ud2lo ud2hi    r-- count IP digit       add ud3lo to ud2hi
-MPLUS       ADD     @RSP+,0(PSP)    ; -- ud1lo ud1hi adr ud2lo ud2hi    Ud2lo + digit
-            ADDC    #0,TOS          ; -- ud1lo ud1hi adr ud2lo ud2hi    ud2hi + carry
-            MOV     @PSP,6(PSP)     ; -- ud2lo ud1hi adr ud2lo ud2hi
-            MOV     TOS,4(PSP)      ; -- ud2lo ud2hi adr ud2lo ud2hi
-            .word   171Dh           ; -- ud2lo ud2hi adr ud2lo count    POPM IP,TOS (1+1 pop,IP=D)
-            ADD     #2,PSP          ; -- ud2lo ud2hi adr count
-            ADD     #1,0(PSP)       ; -- ud2lo ud2hi adr+1 count
-            SUB     #1,TOS          ; -- ud2lo ud2hi adr+1 count-1
-            JNZ     TONUMBER
-TONUMEND    mNEXT                   ; 52 words
+UMSTARTWO   JMP     UMSTAR1         ; ud1lo * base -- x ud4hi   X=ud4lo
+UMSTARNEXT2 FORTHtoASM              ; -- ud1lo ud1hi x ud4hi    r-- IP adr count ud3lo digit
+            ADD     @RSP+,X         ; -- ud1lo ud1hi x ud4hi    X = ud4lo+digit = ud2lo
+MPLUS       ADDC    @RSP+,TOS       ; -- ud1lo ud1hi x ud2hi    TOS = ud4hi+ud3lo+carry = ud2hi
+            MOV     X,4(PSP)        ; -- ud2lo ud1hi x ud2hi           
+            MOV     TOS,2(PSP)      ; -- ud2lo ud2hi x x        R-- IP adr count 
+            .word   172Bh           ; -- ud2lo ud2hi x x        T=count, S=adr  POPM T,S,IP
+TONUMPLUS   ADD     #1,S            ;
+            SUB     #1,T            ;
+            JNZ     TONUMLOOP       ; -- ud2lo ud2hi x x        S=adr+1, T=count-1, X=ud2lo
+TONUMEND    MOV     S,0(PSP)        ; -- ud2lo ud2hi adr2 count2
+            MOV     T,TOS           ; -- ud2lo ud2hi adr2 count2
+            mNEXT                   ; 46 words
 
 ; convert a string to a signed number
 ;Z ?NUMBER  c-addr -- n -1      if convert ok ; flag Z=0
 ;Z          c-addr -- c-addr 0  if convert ko ; flag Z=1
-; FORTH 2012 prefixes $, %, # are recognized
+; FORTH 2012 prefixes $, %, # are recognised
+; 32 bits numbers (with decimal point) are recognised
+; fixed point signed numbers (with a comma) are recognised.
+; prefixes # % $ - are processed before calling >NUMBER, decimal point and comma are >NUMBER exits
 ;            FORTHWORD "?NUMBER"
-QNUMBER:    PUSH    #0              ;3 -- c-addr
-            PUSH    IP              ;3
-            PUSH    &BASE           ;3          R-- sign IP base
-; ----------------------------------;
-; decimal point process add-on      ;
-; vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv;
+QNUMBER:    MOV     #0,S            ;1
+            MOV     &BASE,T         ;3          T=BASE
             BIC     #UF9,SR         ;2          reset flag UF9 used here as decimal point flag
-            MOV.B   @TOS,IP         ;2          IP = count of chars
-            ADD     TOS,IP          ;1          IP = end address
-            MOV     TOS,S           ;1          S = ptr
-            MOV.B   #'.',W          ;2          W = '.'
-SearchDP    CMP     S,IP            ;1          IP U< S ?
-            JLO     SearchDPEND     ;2
-            CMP.B   @S+,W           ;2          DP found ?
-            JNE     SearchDP        ;2          7~ loop by char
-DPfound     BIS     #UF9,SR         ;2          DP found: set flag UF9
-DPrubLoop   MOV.B   @S+,-2(S)       ;4          rub out decimal point
-            CMP     S,IP            ;1          and move left one all susbsequent chars
-            JHS     DPrubLoop       ;2          7~ loop by char
-            SUB.B   #1,0(TOS)       ;3          and decrement count of chars
-SearchDPEND
-; ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^;
+            .word   152Dh           ;5          R-- IP sign base
             MOV     #QNUMNEXT,IP    ;2          define return from >NUMBER
             SUB     #8,PSP          ;1 -- x x x x c-addr
             MOV     TOS,6(PSP)      ;3 -- c-addr x x x c-addr
             MOV     #0,4(PSP)       ;3
-            MOV     #0,2(PSP)       ;3 -- c-addr ud x c-addr
-            MOV     TOS,W           ;1
-            MOV.B   @W+,TOS         ;2 -- c-addr ud x count
-            MOV     W,0(PSP)        ;3 -- c-addr ud adr count
-            MOV.B   @W+,X           ;2                   X=char
-            CMP.B   #'-',X          ;2
-            JHS     QSIGN           ;2                   speed up for not prefixed numbers
-QHEXA       SUB.B   #'$',X          ;2                   = 0 ==> "$" : hex number ?
-            JNZ     QBINARY         ;2 -- c-addr ud adr count      other cases will cause error
-            MOV     #16,&BASE       ;4
-            JMP     PREFIXED        ;2
-QBINARY     SUB.B   #1,X            ;1           "%" - "$" - 1 = 0 ==> '%' : hex number ?
-            JNZ     QDECIMAL        ;2
-            MOV     #2,&BASE        ;3
-            JMP     PREFIXED        ;2
-QDECIMAL    ADD.B   #2,X            ;1           "#" - "%" + 2 = 0 ==> '#' : decimal number ?
-            JNZ     TONUMBER        ;2           that will perform a conversion error
-            MOV     #10,&BASE       ;4
-PREFIXED    MOV     W,0(PSP)        ;3
-            SUB     #1,TOS          ;1 -- c-addr ud adr+1 count-1
-            MOV.B   @W+,X           ;2                           X=2th char, W=adr
-            CMP.B   #'-',X          ;2
-QSIGN       JNZ     TONUMBER        ;2
-            MOV     #-1,4(RSP)      ;3                           R-- sign IP BASE
-            MOV     W,0(PSP)        ;3
-            SUB     #1,TOS          ;1 -- c-addr ud adr+1 count-1
-            JMP     TONUMBER        ;2 69
+            MOV     #0,2(PSP)       ;3 -- c-addr ud=0 x c-addr
+            MOV     TOS,S           ;1
+            MOV.B   @S+,T           ;2 -- c-addr ud=0 x x   S=adr, T=count
+            MOV.B   @S,X            ;2                      X=char
+            SUB.B   #',',X          ;2
+            JHS     QSIGN           ;2                      for current base, and for ',' or '.' process
+            SUB.B   #1,X            ;1
+QBINARY     MOV     #2,&BASE        ;3                      preset base 2
+            ADD.B   #8,X            ;1                      '%' + 8 = '-'   binary number ?
+            JZ      PREFIXED        ;2
+QDECIMAL    ADD     #8,&BASE        ;4
+            ADD.B   #2,X            ;1                      '#' + 2 = '%'   decimal number ?
+            JZ      PREFIXED        ;2
+QHEXA       MOV     #16,&BASE       ;4
+            SUB.B   #1,X            ;2                      '$' - 1 = '#'   hex number ?
+            JNZ     TONUMLOOP       ;2 -- c-addr ud=0 x x   other cases will cause error
+PREFIXED    ADD     #1,S            ;1
+            SUB     #1,T            ;1 -- c-addr ud=0 x x   S=adr+1 T=count-1
+            MOV.B   @S,X            ;2                      X=2th char, W=adr
+            SUB.B   #',',X          ;2
+QSIGN       CMP.B   #1,X            ;1
+            JNZ     TONUMLOOP       ;2                      for positive number and for , or . process
+            MOV     #-1,2(RSP)      ;3                      R-- IP sign base
+            JMP     TONUMPLUS       ;2
+; ----------------------------------;45
+QNUMNEXT    FORTHtoASM              ;  -- c-addr ud2lo ud2hi addr2 count2
+            CMP     #0,TOS          ;1 -- c-addr ud2lo-hi addr2 cnt2    cnt2=0 ? conversion is ok ?
+            JZ      QNUMNEXT1       ;2                                  yes
 ; ----------------------------------;
-
+            BIS     #UF9,SR         ;2                                  set double number flag
 ; ----------------------------------;
-QNUMNEXT    FORTHtoASM              ;  -- c-addr ud2lo ud2hi addr2 count2
-            ADD     #2,PSP          ;1
-            CMP     #0,TOS          ;1 -- c-addr ud2lo ud2hi cnt2  n=0 ? conversion is ok ?
-            .word   0172Ch          ;4 -- c-addr ud2lo ud2hi sign  POPM S,IP,TOS; TOS = sign flag = {-1;0}
-            MOV     S,&BASE         ;3
-            JZ      QNUMOK          ;2 -- c-addr ud2lo ud2hi sign  conversion OK
-QNUMKO      ADD     #4,PSP          ;1 -- c-addr sign
-            AND     #0,TOS          ;1 -- c-addr ff         TOS=0 and Z=1 ==> conversion ko
+QNUMDP      CMP.B   #'.',0(S)       ;4                                  rejected char by >NUMBER is a decimal point ?
+            JNZ     QS15Q16         ;2                                  no
+; ----------------------------------;  -- c-addr ud2lo-hi addr2 cnt2    R-- IP sign BASE    S=addr2,T=cnt2
+QNUMDPFOUND ;BIS     #UF9,SR         ;2                                 yes:set double number flag
+            SUB     #2,IP           ;1                                      set >NUMBER return address
+            JMP     TONUMPLUS       ;2                                      to terminate conversion
+; ----------------------------------;56
+QS15Q16     CMP.B   #',',0(S)       ;5                                  rejected char by >NUMBER is a comma ?
+            JNZ     QNUMNEXT1       ;2                                  no
+; ----------------------------------;
+S15Q16      ;BIS     #UF9,SR         ;2                                 set double number flag
+            MOV     T,W             ;1 -- c-addr ud2lo x x x            W=cnt2
+            MOV     &BASE,T         ;3                                  T=current base
+;            MOV     #0,0(PSP)       ;3 -- c-addr ud2lo x 0 x         init ud2lo' = 0
+;S15Q16LOOP  MOV     @PSP,2(PSP)     ;4 -- c-addr ud2lo ud2lo' ud2lo' x  X = 0(PSP) = ud2lo'   
+            MOV     #0,X            ;1 -- c-addr ud2lo x 0 x         init ud2lo' = 0
+S15Q16LOOP  MOV     X,2(PSP)        ;3 -- c-addr ud2lo ud2lo' ud2lo' x  X = 0(PSP) = ud2lo'   
+            SUB.B   #1,W            ;1                                  decrement cnt2
+            MOV     W,X             ;1                                  X = cnt2-1
+            ADD     S,X             ;1                                  X = end_of_string-1, first...
+            MOV.B   @X,X            ;2                                  X = last char of string, first...
+            SUB     #30h,X          ;2                                  char --> digit conversion
+            CMP.B   #10,X           ;2
+            JLO     QS15Q16DIGI     ;2
+            SUB.B   #7,X            ;2
+            CMP.B   #10,X           ;2
+            JLO     S15Q16EOC       ;2
+QS15Q16DIGI CMP     T,X             ;1                                  R-- IP sign BASE    is X a digit ?
+            JHS     S15Q16EOC       ;2 -- c-addr ud2lo ud2lo' x ud2lo'  if no
+            MOV     X,0(PSP)        ;3 -- c-addr ud2lo ud2lo' digit x 
+            MOV     T,TOS           ;1 -- c-addr ud2lo ud2lo' digit     base R-- IP sign base
+            .word   152Ch           ;6                                  PUSH S,T,W: R-- IP sign base addr2 base cnt2     
+            CALL    #MUSMOD         ;4 -- c-addr ud2lo ur uqlo uqhi
+            .word   172Ah           ;6                                  restore W,T,S: R-- IP sign BASE     
+            JMP     S15Q16LOOP      ;2                                  W=cnt
+S15Q16EOC   MOV     4(PSP),2(PSP)   ;5 -- c-addr ud2lo ud2lo uqlo x     ud2lo from >NUMBER part1 becomes here ud2hi=S15 part2
+            MOV     @PSP,4(PSP)     ;4 -- c-addr ud2lo ud2hi x x        uqlo becomes ud2lo
+            MOV     W,TOS           ;1 -- c-addr ud2lo ud2hi x cnt2
+            CMP.B   #0,TOS          ;1                                  TOS = 0 if end of conversion char = ',' (happy end)
+; ----------------------------------;97
+QNUMNEXT1   .word   0172Bh          ;4 -- c-addr ud2lo-hi x cnt2        POPM T,S,IP   S = sign flag = {-1;0}
+            MOV     S,TOS           ;1 -- c-addr ud2lo-hi x sign
+            MOV     T,&BASE         ;3
+            JZ      QNUMOK          ;2 -- c-addr ud2lo-hi x sign        conversion OK
+QNUMKO      ADD     #6,PSP          ;1 -- c-addr sign
+            AND     #0,TOS          ;1 -- c-addr ff                     TOS=0 and Z=1 ==> conversion ko
             mNEXT                   ;4
 ; ----------------------------------;
-QNUMOK      MOV     2(PSP),4(PSP)   ;  -- udlo udlo udhi sign
-            MOV     @PSP+,0(PSP)    ;4 -- udlo udhi sign          note : PSP is incremented before write back !!!
+QNUMOK      ADD     #2,PSP          ;1 -- c-addr ud2lo-hi sign
+            MOV     2(PSP),4(PSP)   ;  -- udlo udlo udhi sign
+            MOV     @PSP+,0(PSP)    ;4 -- udlo udhi sign                note : PSP is incremented before write back !!!
             XOR     #-1,TOS         ;1 -- udlo udhi inv(sign)
-            JNZ     QDOUBLE         ;2                      if jump : TOS=-1 and Z=0 ==> conversion ok
+            JNZ     QDOUBLE         ;2                                  if jump : TOS=-1 and Z=0 ==> conversion ok
 Q2NEGATE    XOR     #-1,TOS         ;1 -- udlo udhi tf
             XOR     #-1,2(PSP)      ;3 -- dlo-1 dhi-1 tf
             XOR     #-1,0(PSP)      ;3 -- dlo-1 udhi tf
             ADD     #1,2(PSP)       ;3 -- dlo dhi-1 tf
             ADDC    #0,0(PSP)       ;3 -- dlo dhi tf
 QDOUBLE     BIT     #UF9,SR         ;2      decimal point added ?
-            JNZ     QNUMEND         ;2      process double numbers
-            ADD     #2,PSP          ;
-QNUMEND     mNEXT                   ;4 100 words             TOS=-1 and Z=0 ==> conversion ok
-; ----------------------------------;
+            JNZ     QNUMEND         ;2      leave double
+            ADD     #2,PSP          ;1      leave number
+QNUMEND     mNEXT                   ;4                           TOS=-1 and Z=0 ==> conversion ok
+; ----------------------------------;128 words
 
     .ENDIF ; MPY
 
@@ -2025,7 +2196,7 @@ COMMA:      MOV     &DDP,W      ;3
 
 ;https://forth-standard.org/standard/core/LITERAL
 ;C LITERAL  (n|d) --        append single numeric literal if compiling state
-;           (n|d) --        append double numeric literal if compiling state and if UF9=1 (not ANS)
+;           (n|d) --        append double numeric literal if compiling state and if DP<>0 (not ANS)
             FORTHWORDIMM "LITERAL"      ; immediate
 LITERAL:    CMP     #0,&STATE   ;3
             JZ      LITERALEND  ;2
@@ -2076,7 +2247,7 @@ INTFINDNEXT FORTHtoASM                  ; -- c-addr fl  Z = not found
             JZ      COMMA               ;2 c-addr --    if W xor STATE = 0 compile xt then loop back to INTLOOP
             JNZ     EXECUTE             ;2 c-addr --    if W xor STATE <> 0 execute then loop back to INTLOOP
 
-INTQNUMNEXT FORTHtoASM                  ;  -- n|c-addr fl   Z = not a number, UF9 = double number request
+INTQNUMNEXT FORTHtoASM                  ;  -- n|c-addr fl   Z = not a number, SR(UF9) double number request
             MOV     @PSP+,TOS           ;2
             MOV     #INTLOOP,IP         ;2 -- n|c-addr  define LITERAL return
             JNZ     LITERAL             ;2 n --         execute LITERAL then loop back to INTLOOP
@@ -2104,40 +2275,62 @@ EVALUATE:   MOV    #SOURCE_LEN,X        ;2
             MOV     @RSP+,IP            ;2
             mNEXT
 
-;https://forth-standard.org/standard/core/QUIT
-;c QUIT  --     interpret line by line the input stream
-            FORTHWORD "QUIT"
-QUIT:       MOV     #RSTACK,RSP
-            MOV     #LSTACK,&LEAVEPTR
-            MOV     #0,&STATE
-
-    .IFDEF SD_CARD_LOADER
+    .IFDEF SD_CARD_LOADER 
     .IFDEF CONDCOMP
     .IFDEF BOOTLOADER
+BOOTLOAD
+    .ENDIF
+    .ENDIF
+    .ENDIF
+
+    .IFDEF BOOTLOAD ; IF BOOTLOADER
+;https://forth-standard.org/standard/core/QUIT
+;c BOOT  --  jump to bootstrap then continues with (QUIT)
+        FORTHWORD "BOOT"
+BOOT    MOV #RSTACK,RSP
+        MOV #LSTACK,&LEAVEPTR
+        MOV #0,&STATE
 ; ----------------------------------;
 ; BOOTSTRAP TEST                    ;
 ; ----------------------------------;
-    CMP #0,&SAVE_SYSRSTIV           ; if WARM
-    JZ QUIT0                        ; no boostrap
-    BIT.B #SD_CD,&SD_CDIN           ; SD_memory in SD_Card module ?
-    JNZ QUIT0                       ; no
+        CMP #0,&SAVE_SYSRSTIV       ; if WARM
+        JZ QUIT0                    ; no boostrap
+        BIT.B #SD_CD,&SD_CDIN       ; SD_memory in SD_Card module ?
+        JNZ QUIT0                   ; no
 ; ----------------------------------;
 ; BOOTSTRAP                         ; on SYSRSTIV <> 0
 ; ----------------------------------;
-    SUB #2,PSP                      ;
-    MOV TOS,0(PSP)                  ;
-    MOV &SAVE_SYSRSTIV,TOS          ;
-    MOV #0,&SAVE_SYSRSTIV           ;
-    ASMtoFORTH                      ;
-;    .word NOECHO                    ; warning ! your BOOT.4TH must to be finish with ECHO command! 
+        SUB #2,PSP                  ;
+        MOV TOS,0(PSP)              ;
+        MOV &SAVE_SYSRSTIV,TOS      ;
+        MOV #0,&SAVE_SYSRSTIV       ;
+        ASMtoFORTH                  ;
+    .IFDEF QUIETBOOT                
+    .word NOECHO                    ; warning ! your BOOT.4TH must to be finished with ECHO command! 
+    .ENDIF
     .word XSQUOTE                   ; -- addr u
     .byte 15,"LOAD\34 BOOT.4TH\34"  ; issues error 2 if no such file...
     .word BRAN,QUIT4                ;
 ; ----------------------------------;
-    .ENDIF
-    .ENDIF
-    .ENDIF
 
+;https://forth-standard.org/standard/core/QUIT
+;c QUIT  --     interpret line by line the input stream, but may be redirected as here:
+        FORTHWORD "QUIT"
+QUIT    MOV #BOOT,PC
+
+        FORTHWORD "(QUIT)"
+PARENQUIT
+
+    .ELSE ; no BOOTLOADER
+;https://forth-standard.org/standard/core/QUIT
+;c QUIT  --     interpret line by line the input stream
+        FORTHWORD "QUIT"
+QUIT
+
+    .ENDIF
+            MOV     #RSTACK,RSP
+            MOV     #LSTACK,&LEAVEPTR
+            MOV     #0,&STATE
 QUIT0       MOV     #0,&SAVE_SYSRSTIV   ;
             ASMtoFORTH
 QUIT1       .word   XSQUOTE
@@ -2479,6 +2672,7 @@ SEMICOLON:  CMP     #0,&STATE       ; interpret mode : semicolon becomes a comme
 
     .IFDEF CONDCOMP
 ;; CORE EXT  MARKER
+;;https://forth-standard.org/standard/core/MARKER
 ;;( "<spaces>name" -- )
 ;;Skip leading space delimiters. Parse name delimited by a space. Create a definition for name
 ;;with the execution semantics defined below.
@@ -2646,7 +2840,7 @@ MOVE:       MOV     TOS,W       ; 1
             MOV     @PSP+,X     ; src adrs
             MOV     @PSP+,TOS   ; pop new TOS
             CMP     #0,W
-            JZ      MOVE_X
+            JZ      MOVE_X      ; already made !
             CMP     X,Y         ; Y-X ; dst - src
             JZ      MOVE_X      ; already made !
             JC      MOVEUP      ; U>= if dst > src
@@ -2659,7 +2853,7 @@ MOVEUP      ADD     W,Y         ; start at end
             ADD     W,X
 MOVUP1      SUB     #1,X
             SUB     #1,Y
-            MOV.B   @X,0(Y)     ; if X=src < Y=dst copy W bytes up
+MOVUP2      MOV.B   @X,0(Y)     ; if X=src < Y=dst copy W bytes up
             SUB     #1,W
             JNZ     MOVUP1
 MOVE_X      mNEXT
@@ -3108,13 +3302,13 @@ PARENWARM:
             MOV     &SAVE_SYSRSTIV,TOS  ; to display it
             mDOCOL
             .word   XSQUOTE             ;
-            .byte   5,13,1Bh,"[7m"      ; CR + cmd "reverse video"
+            .byte   6,13,1Bh,"[7m#"     ; CR + cmd "reverse video" + #
             .word   TYPE                ;
             .word   DOT                 ; display signed SAVE_SYSRSTIV
 ;            .word   DOT                 ; display SYSSNIV
 ;            .word   DOT                 ; display SYSUNIV
             .word   XSQUOTE
-            .byte   39," FastForth V162",FREQ," (C) J.M.Thoorens "
+            .byte   39," FastForth V2.0",FREQ," (C) J.M.Thoorens "
             .word   TYPE
             .word   LIT,FRAM_FULL,HERE,MINUS,UDOT
             .word   XSQUOTE         ;
@@ -3250,30 +3444,38 @@ COLD_END
     .ENDIF
 
 ;-------------------------------------------------------------------------------
-; UTILITY WORDS OPTION
-;-------------------------------------------------------------------------------
-    .IFDEF UTILITY
-    .include "ADDON\UTILITY.asm"
-    .ENDIF ; UTILITY
-
-    .IFDEF SD_CARD_LOADER
-;-------------------------------------------------------------------------------
 ; SD CARD FAT OPTIONS
 ;-------------------------------------------------------------------------------
+    .IFDEF SD_CARD_LOADER
     .include "forthMSP430FR_SD_LowLvl.asm"  ; SD primitives
     .include "forthMSP430FR_SD_LOAD.asm"    ; SD LOAD driver
+    ;---------------------------------------------------------------------------
+    ; SD CARD READ WRITE
+    ;---------------------------------------------------------------------------
         .IFDEF SD_CARD_READ_WRITE
         .include "forthMSP430FR_SD_RW.asm"  ; SD Read/Write driver
         .ENDIF
+    ;---------------------------------------------------------------------------
+    ; SD TOOLS
+    ;---------------------------------------------------------------------------
+        .IFDEF SD_TOOLS
+        .include "ADDON\SD_TOOLS.asm"
+        .ENDIF ;  SD_READ_WRITE_TOOLS
+    .ENDIF ; SD_CARD_LOADER
 
 ;-------------------------------------------------------------------------------
-; SD TOOLS
+; UTILITY WORDS OPTION
 ;-------------------------------------------------------------------------------
-    .IFDEF SD_TOOLS
-    .include "ADDON\SD_TOOLS.asm"
-    .ENDIF ;  SD_READ_WRITE_TOOLS
+    .IFDEF UTILITY
+    .include "ADDON\UTILITY.asm"
+    .ENDIF ; UTILITY
+
 ;-------------------------------------------------------------------------------
-    .ENDIF ; SD_CARD_LOADER
+; FIXED POINT OPERATORS OPTION
+;-------------------------------------------------------------------------------
+        .IFDEF FIXPOINT
+        .include "ADDON\FIXPOINT.asm"
+        .ENDIF ; FIXPOINT
 
 ;-------------------------------------------------------------------------------
 ; IT'S FINISH : RESOLVE ASSEMBLY PTR
index e4e035d..251f124 100644 (file)
@@ -32,7 +32,7 @@
 ; ----------------------------------;
 ;    FORTHWORD "SD_ACCEPT"          ; TIB TIB TIB_LEN -- PAD|SDIB len'
 ; ----------------------------------;
-SD_ACCEPT                           ; sequentially move from BUFFER to SDIB (or PAD) a line of chars delimited by CRLF
+SD_ACCEPT                           ; sequentially move from BUFFER to SDIB (PAD if RAM=1k) a line of chars delimited by CRLF
 ; ----------------------------------; up to TIB_LEN = 80 chars
     PUSH    IP                      ;
     MOV     #SDA_YEMIT_RET,IP       ; set YEMIT return
@@ -42,19 +42,13 @@ StartNewLine                        ;
     MOV &CurrentHdl,T               ; prepare a link for the next LOADed file...
     MOV &BufferPtr,HDLW_BUFofst(T)  ; ...see usage : HandleComplements
 ; ----------------------------------; -- TIB TIB len
-    .IFDEF RAM_1K                   ; use PAD as SD Input Buffer because the lack of RAM
-    MOV     #PAD_ORG,W              ;               W=dst
-    .ELSEIF                         ; use SDIB as SD Input Buffer
     MOV     #SDIB,W                 ;               W=dst
-    .ENDIF
     MOV     W,2(PSP)                ; -- StringOrg' TIB TIB_LEN
     MOV     TOS,0(PSP)              ; -- StringOrg' TIB_LEN TIB_LEN
     MOV     #0,TOS                  ; -- StringOrg' TIB_LEN Count
 ; ----------------------------------;
 SDA_InitSrcAddr                     ; <== SDA_GetFileNextSector
 ; ----------------------------------;
-    CMP     #0,&BufferLen           ; test if input buffer is empty (EOF)
-    JZ      SDA_GoToInterpret       ; yes, to interpret an empty line (to do nothing)
     MOV     &BufferPtr,X            ;               X=src
     JMP     SDA_ComputeChar         ;
 ; ----------------------------------;
@@ -77,9 +71,6 @@ SDA_ComputeChar                     ;
 SDA_EndOfLine                       ;
 ; ----------------------------------;
     MOV     X,&BufferPtr            ; yes  save BufferPtr for next line
-; ----------------------------------;
-SDA_GoToInterpret                   ; -- StringOrg' TIB_LEN len'
-; ----------------------------------;
     ADD     #2,PSP                  ; -- StringOrg' len'
     MOV     @RSP+,IP                ;
     MOV     @IP+,PC                 ; ===> unique output
@@ -101,4 +92,13 @@ SDA_GetFileNextSector               ; StringOrg' TIB_LEN Count --
     JMP     SDA_InitSrcAddr         ; loopback to end the line
 ; ----------------------------------;
 
+;https://forth-standard.org/standard/core/ACCEPT
+;C ACCEPT  addr addr len -- addr' len'  get line at addr to interpret len' chars
+            FORTHWORD "ACCEPT"
+ACCEPT      MOV     #PARENACCEPT,PC
+
+;C (ACCEPT)  addr addr len -- addr len'     get len' (up to len) chars from terminal (TERATERM.EXE) via USBtoUART bridge
+            FORTHWORD "(ACCEPT)"
+PARENACCEPT
+
 
index 84d40ec..9b02de3 100644 (file)
@@ -387,5 +387,12 @@ FATxx_SetFileSystemNext             ;
     MOV     X,&OrgClusters          ; X = virtual cluster 0 address (clusters 0 and 1 don't exist)
     MOV     &FATtype,&DIRClusterL   ; init DIRcluster as RootDIR
 ; ----------------------------------;
+    .IFNDEF RAM_1K                  ; 
+; ----------------------------------;
+INIT_LOADSATCK                      ; Load" IP return stack 
+; ----------------------------------;
+    MOV     #LOAD_STACK,&LOADPTR    ;
+; ----------------------------------;
+    .ENDIF
 
 
index 78649fa..6814dfa 100644 (file)
 ; along with this program.  If not, see <http://www.gnu.org/licenses/>.
 
 
-    FORTHWORD "{SD_LOAD}"   ; SD_LOAD words mark
-    mNEXT
-
 ;-----------------------------------------------------------------------
 ; SD card OPEN, LOAD subroutines
 ;-----------------------------------------------------------------------
 
-;Z S">HERE  addr u -- HERE            move in-line string to a counted string at HERE
-SQUOTE2HERE MOV     @PSP+,X     ; X = src
+;Z S">HERE  addr u -- HERE      ; move in-line string to a counted string at HERE
+SQUOTE2HERE MOV.B   TOS,W       ; W = count
+            MOV     @PSP+,X     ; X = src
             MOV     &DDP,Y      ; Y = dst = HERE
-            MOV.B   TOS,W       ; W = count
             MOV     Y,TOS       ; -- HERE
-            MOV.B   W,0(Y)      ; count at HERE
-            ADD     #1,Y        ; inc dst
+            SUB     #1,X        ; X = c-addr
+            ADD     #1,W        ; W = count+1
             MOV #MOVEDOWN,PC    ;
 
-
 ; rules for registers use
 ; S = error
 ; T = CurrentHdl, pathname
@@ -44,7 +40,7 @@ SQUOTE2HERE MOV     @PSP+,X     ; X = src
 
 
 ; ----------------------------------;
-HDLCurClusToFAT1sectWofstY          ;WXY Input: HDL_CurCluster, Output: W=FATsector, Y=FAToffset, Cluster=HDL_CurCluster
+HDLCurClusToFAT1sectWofstY          ;WXY Input: T=currentHandle, Output: W=FATsector, Y=FAToffset, Cluster=HDL_CurCluster
 ; ----------------------------------;
     MOV HDLL_CurClust(T),&ClusterL  ;
     MOV HDLH_CurClust(T),&ClusterH  ;
@@ -53,18 +49,13 @@ ClusterToFAT1sectWofstY             ;WXY Input : Cluster ; Output: W = FATsector
 ; ----------------------------------;
     MOV.B   &ClusterL+1,W           ;3 W = ClusterLoHI
     MOV.B   &ClusterL,Y             ;3 Y = ClusterLoLo
-    CMP     #2,&FATtype             ;3 FAT32?
-    JNZ      CTF1S_end              ;2 no
-;    JZ      ClusterToFAT32sector    ;2 yes
-;    ADD     Y,Y                     ;1 Y = ClusterLoLo << 1
-;    RET
+    CMP     #1,&FATtype             ;3 FAT16?
+    JZ      CTF1S_end               ;2 yes
 
-; input : Cluster n, max = 7FFFFF ==> SDcard up to 256 GB
+; input : Cluster n, max = 7FFFFF (SDcard up to 256 GB)
 ; ClusterLoLo*4 = displacement in 512 bytes sector   ==> FAToffset
 ; ClusterHiLo&ClusterLoHi +C  << 1 = relative FATsector + orgFAT1       ==> FATsector
 ; ----------------------------------;
-ClusterToFAT32sector                ; Input : Cluster ; Output: W=FATsector, Y=FAToffset
-; ----------------------------------;
     MOV.B   &ClusterH,X             ;  X = 0:ClusterHiLo
     SWPB    X                       ;  X = ClusterHiLo:0
     ADD     X,W                     ;  W = ClusterHiLo:ClusterLoHi  
@@ -80,7 +71,7 @@ CTF1S_end
 
 
 ; use no registers
-; ----------------------------------; Input : Cluster
+; ----------------------------------; Input : Cluster, output: Sector = Cluster_first_sector
 ComputeClusFrstSect                 ; If Cluster = 1 ==> RootDirectory ==> SectorL = OrgRootDir
 ; ----------------------------------; Output: SectorL of Cluster
     MOV     #0,&SectorH             ;
@@ -107,11 +98,13 @@ CCFS_AllOthers                      ;
     MOV.B &SecPerClus,W             ;3 SecPerClus(5-1) = multiplicator
     MOV &ClusterL,X                 ;3 Cluster(16-1) --> MULTIPLICANDlo
     MOV.B &ClusterH,Y               ;3 Cluster(21-17) -->  MULTIPLICANDhi
-    RRA W                           ;1 bit1 test
-    JC  CCFS_NEXT                   ;2 case of SecPerClus=1
+;    RRA W                           ;1 bit1 test
+;    JC  CCFS_NEXT                   ;2 case of SecPerClus=1
+    JMP CCFS_ENTRY
 CCFS_LOOP                           ;
     ADD X,X                         ;1 (RLA) shift one left MULTIPLICANDlo16
     ADDC Y,Y                        ;1 (RLC) shift one left MULTIPLICANDhi8
+CCFS_ENTRY
     RRA W                           ;1 shift one right multiplicator
     JNC CCFS_LOOP                   ;2 C = 0 loop back
 CCFS_NEXT                           ;  C = 1, it's done
@@ -129,7 +122,7 @@ CCFS_RET                            ;
 
 
 ; ----------------------------------;
-ComputeHDLcurrentSector             ;
+ComputeHDLcurrentSector             ; input: currentHandle, output: Cluster, Sector
 ; ----------------------------------;
     MOV   HDLL_CurClust(T),&ClusterL;
     MOV   HDLH_CurClust(T),&ClusterH;
@@ -193,8 +186,6 @@ Read_File                           ; <== SD_ACCEPT, READ
 ; ==================================;
 SetBufLenAndLoadCurSector           ;WXY <== previous handle reLOAD
 ; ==================================;
-;ComputeBufferLen                   ;
-; ----------------------------------;
     MOV     #bytsPerSec,&BufferLen  ; preset BufferLen
     CMP     #0,HDLH_CurSize(T)      ; CurSize > 65535 ?
     JNZ     LoadHDLcurrentSector    ; yes
@@ -228,7 +219,7 @@ GetFreeHandle                       ;STWXY init handle(HDLL_DIRsect,HDLW_DIRofst
 ; ----------------------------------; output : T = new CurrentHdl
     MOV     #8,S                    ; prepare file already open error
     MOV     #FirstHandle,T          ;
-    MOV     #0,X                    ; X = previous handle,  init = 0
+    MOV     #0,X                    ; X = init previous handle as 0
 ; ----------------------------------;
 SearchHandleLoop                    ;
 ; ----------------------------------;
@@ -244,30 +235,30 @@ SearchNextHandle                    ;
     ADD     #HandleLenght,T         ;
     CMP     #HandleEnd,T            ;
     JNZ     SearchHandleLoop        ;
-; ----------------------------------;
     ADD     S,S                     ; 16 = no more handle error, abort ===>
 InitHandleRET                       ;
     RET                             ;
 ; ----------------------------------;
 FreeHandleFound                     ; T = new handle, X = previous handle
 ; ----------------------------------;
-    MOV     #0,S                    ; prepare HappyEnd
+    MOV     #0,S                    ; prepare Happy End (no error)
     MOV     T,&CurrentHdl           ;
     MOV     X,HDLW_PrevHDL(T)       ; link to previous handle
 ; ----------------------------------;
 CheckCaseOfLoadFileToken            ;
 ; ----------------------------------;
-    CMP.B   #0,W                    ; open_type is LOAD?
-    JGE     InitHandle              ; W>0, no
     CMP.B   #0,X                    ; existing previous handle?
     JZ      InitHandle              ; no
+    ADD     &TOIN,HDLW_BUFofst(X)   ; in previous handle, add interpret offset to Buffer offset
+    CMP.B   #0,W                    ; open_type is LOAD (-1) ?
+    JGE     InitHandle              ; W>=0, no
     CMP.B   #0,HDLB_Token(X)        ; previous token is negative? (open_load type)
     JGE     InitHandle              ; no
     ADD.B   HDLB_Token(X),W         ; LOAD token = previous LOAD token -1
 ; ----------------------------------;
 InitHandle                          ;
 ; ----------------------------------;
-    MOV.B   W,HDLB_Token(T)         ; marks handle as open type
+    MOV.B   W,HDLB_Token(T)         ; marks handle as open type: <0=LOAD, 1=READ, 2=WRITE, 4=DEL
     MOV.B   #0,HDLB_ClustOfst(T)    ; clear ClustOfst
     MOV     &SectorL,HDLL_DIRsect(T); init handle DIRsectorL
     MOV     &SectorH,HDLH_DIRsect(T); 
@@ -284,16 +275,12 @@ InitHandle                          ;
     JZ      ComputeHDLcurrentSector ; = 2, is a WRITE file
     JGE     InitHandleRET           ; > 2, is a file to be deleted
     MOV     #0,HDLW_BUFofst(T)      ; < 2, is a READ or a LOAD file
+    CMP.B   #-1,W                   ;
+    JZ      FirstLoadedFileHandle   ; case of first loaded file
+    JL      AllLoadedFileHandle     ; case of other loaded file
+    JMP SetBufLenAndLoadCurSector   ; case of READ file
 ; ----------------------------------;
-HandleComplements                   ;
-; ----------------------------------;
-    CMP.B   #-1,W                   ; is the first loaded file?
-    JZ  FirstLoadFileHandle         ; = -1, is the first LOADed file
-    JGE SetBufLenAndLoadCurSector   ; > -1, is a READ file
-    ADD     &TOIN,HDLW_BUFofst(X)   ; < -1, is not the first LOADed file: in previous handle, add interpret offset to Buffer offset
-    JMP SetBufLenAndLoadCurSector   ; thus, the return to this previous LOADed file will be on next char after current LOAD" cmd.
-; ----------------------------------;
-FirstLoadFileHandle                 ;
+FirstLoadedFileHandle               ;
 ; ----------------------------------;
     MOV     &TOIN,X                 ;3
     MOV     &SOURCE_LEN,W           ;3
@@ -302,26 +289,29 @@ FirstLoadFileHandle                 ;
     ADD     &SOURCE_ADR,X           ;3
     MOV     X,&SAVEtsPTR            ;3 save new input org address
     MOV     #SD_ACCEPT,&ACCEPT+2    ; redirect ACCEPT to SD_ACCEPT
+; ----------------------------------;
+AllLoadedFileHandle                 ;
+; ----------------------------------;
+    ADD     #2,&LOADPTR             ;4
+    MOV     &LOADPTR,X              ;3
+    MOV     IP,0(X)                 ;3
     JMP SetBufLenAndLoadCurSector   ;
 ; ----------------------------------;
 
 
-; If closed token = -1, restore DefaultInputStream
-; if closed token < -1, restore previous context
 ; ==================================;
 CloseHandleT                        ; <== CLOSE, Read_File, TERM2SD", OPEN_DEL
 ; ==================================;
     MOV     &CurrentHdl,T           ;
-    MOV     #0,&BufferLen           ; to inform the user that file is closed
     CMP     #0,T                    ; no handle?
     JZ      InitHandleRET           ; RET
 ; ----------------------------------;
     .IFDEF SD_CARD_READ_WRITE
-    CMP.B   #2,HDLB_Token(T)        ; updated file ?
+    CMP.B   #2,HDLB_Token(T)        ; open as write (updated) file ?
     JNZ     CloseHandleHere         ; no
     CALL    #WriteBuffer            ;SWXY
-    CALL    #OPWW_UpdateDirectory   ;SWXY 
-    .ENDIF
+    CALL    #OPWW_UpdateDirectory   ;SWXY
+    .ENDIF                          ;
 ; ----------------------------------;
 CloseHandleHere                     ;
 ; ----------------------------------;
@@ -333,21 +323,36 @@ CloseHandleHere                     ;
 ; ----------------------------------;
 CheckCaseOfClosedLoadedFile         ;
 ; ----------------------------------;
-    ADD.B   #1,W                    ;
-    JZ      CloseFirstLoadedFile    ; W=0, this closed LOADed file had not a paren
-    JGE     InitHandleRET           ; W>0, for READ, WRITE, DEL files
-; ----------------------------------;
-RestorePreviousLoadedFileContext    ; W<0, this closed LOADed file had a paren
-; ----------------------------------;
-    MOV HDLW_BUFofst(T),&BufferPtr  ; restore BufferPtr saved by SD_ACCEPT before interpreting LOAD cmd line 
-    JMP SetBufLenAndLoadCurSector   ;
+    CMP.B   #-1,W                   ;
+    JNZ     CheckPreviousLoadedFile ;
 ; ----------------------------------;
-CloseFirstLoadedFile                ;
+CloseFirstLoadedFile                ; W=-1, this closed LOADed file had not a parent file
 ; ----------------------------------;
     MOV     &SAVEtsLEN,TOS          ; restore lenght
     MOV     &SAVEtsPTR,2(PSP)       ; restore pointer for interpret
     MOV     #PARENACCEPT,&ACCEPT+2  ; restore (ACCEPT)
-    RET                             ; RET
+    JMP     RestorePreviousReturn   ;
+; ----------------------------------;
+CheckPreviousLoadedFile             ;
+; ----------------------------------;
+    CMP     #0,T                    ; previous handle ?
+    JZ      InitHandleRET           ; no
+    CMP.B   #0,HDLB_Token(T)        ; test previous handle token
+    JGE     InitHandleRET           ; case of READ, WRITE, DEL previous files
+; ----------------------------------;
+RestorePreviousLoadedFileContext    ;
+; ----------------------------------;
+    MOV HDLW_BUFofst(T),&BufferPtr  ; restore BufferPtr
+    CALL #SetBufLenAndLoadCurSector ;
+; ----------------------------------;
+RestorePreviousReturn               ; -- StringOrg' TIB_LEN len'    R-- SDIB_PTR SD_ACCEPT_RET
+; ----------------------------------;
+    ADD     #2,PSP                  ; -- StringOrg' len'
+    ADD     #4,RSP                  ; R--           remove SD_ACCEPT_RET and SDIB ptr saved by SD_ACCEPT
+    MOV     &LOADPTR,X              ;3
+    MOV     @X,IP                   ;2 restore IP as it was when load" file" open
+    SUB     #2,&LOADPTR             ;4
+    mNEXT                           ;
 ; ----------------------------------;
 
 
@@ -416,12 +421,6 @@ DELDQ
 ; ----------------------------------;
 
 
-    .ENDIF ; SD_CARD_READ_WRITE
-
-;-----------------------------------------------------------------------
-; SD_CARD_LOADER FORTH word
-;-----------------------------------------------------------------------
-
 ;Z CLOSE      --     
 ; close current handle
 ; ----------------------------------;
@@ -431,13 +430,18 @@ DELDQ
     mNEXT                           ;
 ; ----------------------------------;
 
+    .ENDIF ; SD_CARD_READ_WRITE
+
+;-----------------------------------------------------------------------
+; SD_CARD_LOADER FORTH word
+;-----------------------------------------------------------------------
+
 ;Z LOAD" pathame"   --       immediate
 ; compile state : compile LOAD" pathname"
 ; exec state : open a file from SD card via its pathname
 ; see Open_File primitive for pathname conventions 
 ; the opened file becomes the new input stream for INTERPRET
 ; this command is recursive, limited only by the count of free handles (up to 8)
-
 ; LOAD" acts also as dos command "CD" : 
 ;     - LOAD" \misc\" set a:\misc as current directory
 ;     - LOAD" \" reset current directory to root
@@ -465,7 +469,6 @@ DELDQ
 ;                   ...open the file as read and return the handle in CurrentHdl.
 ;               if the pathname is a directory, change current directory, no handle is set.
 ;               if an error is encountered, no handle is set, an error message is displayed.
-
 ; ----------------------------------;
 Open_File                           ; --
 ; ----------------------------------;
@@ -491,9 +494,7 @@ OPEN_EXEC                           ;
     FORTHtoASM                      ;
     MOV     @RSP+,IP                ;
 ; ----------------------------------;
-ParenOpen                           ; open_type HERE --
-; ----------------------------------;
-;    SUB     #2,PSP                  ; make room for DIRsector
+ParenOpen                           ; -- open_type HERE             HERE as pathname ptr
 ; ----------------------------------;
 OPN_CountedToStringZ                ;
 ; ----------------------------------;
@@ -527,14 +528,12 @@ OPN_SearchDirSector                 ;
 ; ----------------------------------;
     MOV     TOS,&Pathname           ; save name addr
     CALL    #ComputeClusFrstSect    ; output: SectorHL
-;    MOV     #32,0(PSP)              ; preset countdown for FAT16 RootDIR sectors
-    MOV     #32,rDODOES              ; preset countdown for FAT16 RootDIR sectors
+    MOV     #32,rDODOES             ; preset countdown for FAT16 RootDIR sectors
     CMP     #2,&FATtype             ; FAT32?
     JZ      OPN_SetDirSectors       ; yes
     CMP     &ClusterL,&FATtype      ; FAT16 AND RootDIR ?
     JZ      OPN_LoadSectorDir       ; yes
 OPN_SetDirSectors                   ;
-;    MOV     &SecPerClus,0(PSP)      ;
     MOV     &SecPerClus,rDODOES     ;
 ; ----------------------------------;
 OPN_LoadSectorDir                   ; <=== Dir Sector loopback
@@ -586,7 +585,6 @@ OPN_EntryMismatch                   ;
 ; ----------------------------------;
     ADD     #1,&SectorL             ;
     ADDC    #0,&SectorH             ;
-;    SUB     #1,0(PSP)               ; dec count of Dir sectors
     SUB     #1,rDODOES              ; dec count of Dir sectors
     JNZ     OPN_LoadSectorDir       ; ===> loopback for next DIR sector
 ; ----------------------------------;
@@ -637,17 +635,15 @@ OPN_DIRfoundNext                    ;
     CMP.B   #0,-1(TOS)              ; FirstNotEqualChar =  0  ?
     JNZ     OPN_EndOfDIRstringZtest ; no : FirstNotEqualChar = "\"
 ; ----------------------------------;
-OPN_SetCurrentDIR                   ; -- open_type DIRsector ptr
+OPN_SetCurrentDIR                   ; -- open_type ptr
 ; ----------------------------------;
     MOV     &ClusterL,&DIRClusterL  ;
     MOV     &ClusterH,&DIRclusterH  ;
-;    MOV     #THREEDROP,PC           ; 3drop
-    MOV     #0,0(PSP)
+    MOV     #0,0(PSP)               ; -- open_type ptr      open_type = 0 
     JMP     OPN_Dir
 ; ----------------------------------;
-OPN_FileFound                       ; -- open_type DIRsector ptr
+OPN_FileFound                       ; -- open_type ptr
 ; ----------------------------------;
-;    MOV     2(PSP),W                ;   
     MOV     @PSP,W                  ;   
     CALL    #GetFreeHandle          ;STWXY init handle(HDLL_DIRsect,HDLW_DIRofst,HDLL_FirstClus = HDLL_CurClust,HDLL_CurSize)
 ; ----------------------------------; output : T = CurrentHdl*, S = ReturnError, Y = DIRentry offset
@@ -657,9 +653,8 @@ OPN_EndOfDIR                        ; S = error 4
 OPN_NoSuchFile                      ; S = error 2
 OPN_NoPathName                      ; S = error 1
 OPN_Dir
-;    ADD     #2,PSP                  ; -- open_type ptr
+    MOV     #xdodoes,rDODOES        ;                   restore rDODOES
     MOV     @PSP+,W                 ; -- ptr            W = open_type
-    MOV     #xdodoes,rDODOES        ; -- open_type ptr
     MOV     @PSP+,TOS               ; --
 ; ----------------------------------; then go to selected OpenType subroutine (OpenType = W register)
 
index dd55b08..a705aab 100644 (file)
@@ -1,4 +1,4 @@
-; -*- coding: utf-8 -*-
+ ; -*- coding: utf-8 -*-
 ; DTCforthMSP430FR5xxxSD_RW.asm
 
 ; and only for FR5xxx and FR6xxx with RTC_B or RTC_C hardware if you want write file with date and time.
@@ -47,7 +47,7 @@ OPEN_READ                           ;
 ; when the last sector of file is loaded in buffer, the handle is automatically closed and flag is true (<>0).
 
 ; ----------------------------------;
-    FORTHWORD "READ"                ; -- fl     closed ?
+    FORTHWORD "READ"                ; -- fl     closed flag
 ; ----------------------------------;
 READ
     SUB     #2,PSP                  ;
@@ -396,7 +396,7 @@ OPWW_UpdateEntryFileSize            ;
     MOV     #WriteSectorWX,PC       ;SWX then RET
 ; ----------------------------------;
 
-; this subroutine is called by Write_File and CloseHandleT
+; this subroutine is called by Write_File (bufferPtr=512) and CloseHandleT (0 =< BufferPtr =< 512)
 ; ==================================; 
 WriteBuffer                         ;SWXY input: T = CurrentHDL
 ; ==================================; 
@@ -461,7 +461,7 @@ Write_File_End
 ; ----------------------------------;
 
 ;Z WRITE            -- 
-; sequentially write the BUFFER in a file opened by WRITE"
+; sequentially write the entire BUFFER in a file opened by WRITE"
 ; ----------------------------------;
     FORTHWORD "WRITE"               ;
 ; ----------------------------------;
@@ -478,12 +478,12 @@ SD_EMIT                             ;
     JLO     SD_EmitNext             ; 2
     CALL    #Write_File             ;   BufferPtr = 0
 SD_EmitNext                         ;
-    MOV     &BufferPtr,Y            ; Y 
+    MOV     &BufferPtr,Y            ; 3 
     MOV.B   TOS,BUFFER(Y)           ; 3
     ADD     #1,&BufferPtr           ; 4
     MOV     @PSP+,TOS               ; 2
     mNEXT                           ; 4
-; ----------------------------------; 19~ for SD_EMIT, 22~ for EMIT
+; ----------------------------------; 22~ for SD_EMIT, 22~ for EMIT
 
 
 
index 06cd9f7..f5d924f 100644 (file)
--- a/howto.md
+++ b/howto.md
@@ -703,15 +703,15 @@ REGISTERS correspondence
     R1      SP      RSP         Return Stack Pointer
     R2      SR/CG1  SR          Status Register/Constant Generator 1
     R3      CG2                 Constant Generator 2
-    R4      R4      rDODOES     contents address of xdodoes
-    R5      R5      rDOCON      contents address of xdocon
-    R6      R6      rDOVAR      contents address of RFROM
-    R7      R7      rEXIT       contents address of EXIT
+    R4      R4      rDODOES     contents address of xdodoes   
+    R5      R5      rDOCON      contents address of xdocon    
+    R6      R6      rDOVAR      contents address of RFROM           
+    R7      R7      rEXIT       contents address of EXIT            
     R8      R8      Y           scratch register
     R9      R9      X           scratch register
     R10     R10     W           scratch register
     R11     R11     T           scratch register
-    R12     R12     S           scratch register
+    R12     R12     S           scratch register      
     R13     R13     IP          Interpretation Pointer
     R14     R14     TOS         Top Of parameters Stack
     R15     R15     PSP         Parameters Stack Pointer
@@ -722,10 +722,7 @@ REGISTERS correspondence
 REGISTERS use
 
     The FASTFORTH registers rDOCOL, rDOVAR, rDOCON and rDODOES must be preserved. 
-    PUSHM R7,R4 before use and POPM R4,R7 after.
-
-    Under interrupt, the use of scratch registers and IP is free.
-    Else, only scratch registers.
+    PUSHM R13,R10 before use and POPM R10,R13 after.
 
 
 PARAMETERS STACK use
@@ -742,9 +739,10 @@ PARAMETERS STACK use
 
     to pop one cell from the PSP stack :
 
-        MOV @PSP+,TOS               \ first cell is lost
+        MOV @PSP+,TOS               \ first cell TOS is lost
         ...
 
+    don't never pop a byte with instruction MOV.B @PSP+, ...
 
 RETURN STACK use
 
@@ -760,6 +758,9 @@ RETURN STACK use
         MOV @RSP+,<where you want>   \
         ...
 
+    don't never pop a byte with instruction MOV.B @RSP+, ...
+
+
     to push multiple registers on the RSP stack :
 
         PUSHM Rx,Ry                 \ x > y 
@@ -772,21 +773,21 @@ RETURN STACK use
 
 CPUx instructions PUSHM / POPM (my own syntax, not the TI's one, too bad :-)
 
-    PUSHM order : PSP,TOS, IP, S, T, W, X, Y, R7, R6, R5, R4
+    PUSHM order : PSP,TOS,IP, S, T, W, X, Y, R7, R6, R5, R4
 
     example : PUSHM IP,Y    \ push IP, S, T, W, X, Y registers onto the stack RSP
 
 
-    POPM  order :  R4, R5, R6, R7, Y, X, W, T, S, IP,TOS,PSP
+    POPM  order : R4, R5, R6, R7, Y, X, W, T, S, IP,TOS,PSP
 
-    example : POPM Y,IP         \ pop Y, X, W, T, S, IP registers from the stack RSP
+    example : POPM Y,IP     \ pop Y, X, W, T, S, IP registers from the stack RSP
 
     error occurs if bad order (PUSHM Y,IP for example)
 
 
 CPUx instructions RRCM,RRAM,RLAM,RRUM
     
-    example : RRUM #3,R9      \ R9 register is Unsigned Right shifted by n=3
+    example : RRUM #3,R8      \ R8 register is Unsigned Right shifted by n=3
 
     error occurs if 1 > n > 4